Towards optimal performance-area trade-off in adders by synthesis of parallel prefix structures

  • Authors:
  • Subhendu Roy;Mihir Choudhury;Ruchir Puri;David Z. Pan

  • Affiliations:
  • University of Texas at Austin;IBM T. J. Watson Research Center, Yorktown Heights;IBM T. J. Watson Research Center, Yorktown Heights;University of Texas at Austin

  • Venue:
  • Proceedings of the 50th Annual Design Automation Conference
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper proposes an efficient algorithm to synthesize prefix graph structures that yield adders with the best performance-area trade-off. For designing a parallel prefix adder of a given bit-width, our approach generates prefix graph structures to optimize an objective function such as size of prefix graph subject to constraints like bit-wise output logic level. Besides having the best performance-area trade-off our approach, unlike existing techniques, can (i) handle more complex constraints such as maximum node fanout or wire-length that impact the performance/area of a design and (ii) generate several feasible solutions that minimize the objective function. Generating several optimal solutions provides the option to choose adder designs that mitigate constraints such as wire congestion or power consumption that are difficult to model as constraints during logic synthesis. Experimental results demonstrate that our approach improves performance by 3% and area by 9% over even a 64-bit full custom designed adder implemented in an industrial high-performance design.