Simulation and Generation of IDDQ Tests for Bridging Faults in Combinational Circuits

  • Authors:
  • Sreejit Chakravarty;Paul J. Thadikaran

  • Affiliations:
  • -;-

  • Venue:
  • IEEE Transactions on Computers
  • Year:
  • 1996

Quantified Score

Hi-index 14.98

Visualization

Abstract

In the absence of information about the layout, test generation, and fault simulation systems must target all bridging faults. A novel algorithm, that is both time and space efficient, for simulating IDDQTests for all two-line bridging faults in combinational circuits is presented. Simulation results using randomly generated test sets point to the computational feasibility of targeting all two-line bridging faults. On a more theoretical note, we show that: The problem of computing IDDQ tests for all two-line bridging faults, even in some restricted classes of circuits, is intractable; and, even under some pessimistic assumptions, a complete IDDQ test set for all two-line bridging faults also covers all multiple line, single cluster bridging faults.