Bus guardians: an effective solution for online detection and correction of faults affecting system-on-chip buses

  • Authors:
  • Marcello Lajolo

  • Affiliations:
  • NEC USA, Princeton, NJ

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems - System Level Design
  • Year:
  • 2001

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper presents a methodology for designing system-on-chip (SOC) interconnection architectures providing a high level of protection form crosstalk effects. An event driven simulator enriched with fault injection capabilities is exploited to evaluate the dependability level of the system being designed. The simulation environment supports several bus coding protocols and, thus, designers can easily evaluate different design alternatives. To enhance the dependability level of the interconnection architecture, we propose a distributed bus guardian scheme, where dedicated hardware modules monitor the integrity of the information transmitted over the bus and provide error correction mechanisms.