Pentium Pro Processor Design for Test and Debug

  • Authors:
  • Adrian Carbine;Derek Feltham

  • Affiliations:
  • -;-

  • Venue:
  • IEEE Design & Test
  • Year:
  • 1998

Quantified Score

Hi-index 0.00

Visualization

Abstract

This article describes the Design for Test (DFT) and silicon debug features of the Pentium Pro processor, and its production test development methodology. The need to quickly ramp a complex, high-performance microprocessor into high-volume manufacturing with low defect rates led the design team to a custom low-area DFT approach, coupled with a manually-written test methodology which targeted several fault models. Results show that this approach was effective in balancing testability needs with other design constraints, while enabling excellent time to market and test quality.