Using a Soft Core in a SoC Design: Experiences with picoJava

  • Authors:
  • Sujit Dey;Debashis Panigrahi;Li Chen;Clark N. Taylor;Krishna Sekar;Pablo Sanchez

  • Affiliations:
  • -;-;-;-;-;-

  • Venue:
  • IEEE Design & Test
  • Year:
  • 2000

Quantified Score

Hi-index 0.00

Visualization

Abstract

Through our experience in synthesis, validation, test, and integration of the picoJava processor core in a system-on-chip (SoC) design we point out the challenges faced and issues to address in efficient reuse of a soft core