PENTIUM® PRO PROCESSOR DESIGN FOR TEST AND DEBUG

  • Authors:
  • Adrian Carbine;Derek Feltham

  • Affiliations:
  • -;-

  • Venue:
  • ITC '97 Proceedings of the 1997 IEEE International Test Conference
  • Year:
  • 1997

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper describes the Design for Test (DFT) and silicondebug features of the Pentium® Pro processor, and itsproduction test development methodology. The need toquickly ramp a complex, high-performance microprocessorinto high-volume manufacturing with low defect rates ledthe design team to a custom low-area DFT approach,coupled with a manually-written test methodology whichtargeted several fault models. Results show that thisapproach was effective in balancing testability needs withother design constraints, while enabling excellent time tomarket and test quality.