AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors

  • Authors:
  • D. Ponomarev;G. Kucuk;K. Ghose

  • Affiliations:
  • Department of Computer Science, State University of New York, Binghamton, NY;Department of Computer Science, State University of New York, Binghamton, NY;Department of Computer Science, State University of New York, Binghamton, NY

  • Venue:
  • Proceedings of the conference on Design, automation and test in Europe
  • Year:
  • 2002

Quantified Score

Hi-index 0.01

Visualization

Abstract

This paper describes the AccuPower toolset -- a set ofsimulation tools accurately estimating the powerdissipation within a superscalar microprocessor.AccuPower uses a true hardware level and cycle levelmicroarchitectural simulator and energy dissipationcoefficients gleaned from SPICE measurements of actualCMOS layouts of critical datapath components. Transitioncounts can be obtained at the level of bits within data andinstruction streams, at the level of registers, or at the levelof larger building blocks (such as caches, issue queue,reorder buffer, function units). This allows for an accurateestimation of switching activity at any desired level ofresolution.