Energy-efficient issue queue design

  • Authors:
  • Dmitry V. Ponomarev;Gurhan Kucuk;Oguz Ergin;Kanad Ghose;Peter M. Kogge

  • Affiliations:
  • Department of Computer Science, State University of New York at Binghamton, Binghamton, NY;Department of Computer Science, State University of New York at Binghamton, Binghamton, NY;Department of Computer Science, State University of New York at Binghamton, Binghamton, NY;Department of Computer Science, State University of New York at Binghamton, Binghamton, NY;Department of Computer Science and Engineering, University of Notre Dame, Notre Dame, IN

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special section on low power
  • Year:
  • 2003

Quantified Score

Hi-index 0.01

Visualization

Abstract

The out-of-order issue queue (IQ), used in modern superscalar processors is a considerable source of energy dissipation. We consider design alternatives that result in significant reductions in the power dissipation of the IQ (by as much as 75%) through the use of comparators that dissipate energy mainly on a tag match, 0-B encoding of operands to imply the presence of bytes with all zeros and, bitline segmentation. Our results are validated by the execution of SPEC 95 benchmarks on a true hardware level, cycle-by-cycle simulator for a superscalar processor and SPICE measurements for actual layouts of the IQ in a 0.18-µm CMOS process.