Power Modeling and Characterization of Computing Devices: A Survey

  • Authors:
  • Sherief Reda;Abdullah N. Nowroz

  • Affiliations:
  • -;-

  • Venue:
  • Foundations and Trends in Electronic Design Automation
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this survey we describe the main research directions in pre-silicon power modeling and post-silicon power characterization. We review techniques in power modeling and characterization for three computing substrates: general-purpose processors, system-on-chip-based embedded systems, and field programmable gate arrays. We describe the basic principles that govern power consumption in digital circuits, and utilize these principles to describe high-level power modeling techniques for designs of the three computing substrates. Once a computing device is fabricated, direct measurements on the actual device reveal a great wealth of information about the device's power consumption under various operating conditions. We describe characterization techniques that integrate infrared imaging with electric current measurements to generate runtime power maps. The power maps can be used to validate design-time power models and to calibrate computer-aided design tools. We also describe empirical power characterization techniques for software power analysis and for adaptive power-aware computing. Finally, we provide a number of plausible future research directions for power modeling and characterization.