A survey of optimization techniques targeting low power VLSI circuits
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
ISLPED '95 Proceedings of the 1995 international symposium on Low power design
Power analysis and low-power scheduling techniques for embedded DSP software
ISSS '95 Proceedings of the 8th international symposium on System synthesis
Power minimization in IC design: principles and applications
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Opportunities and obstacles in low-power system-level CAD
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Early power exploration—a World Wide Web application
DAC '96 Proceedings of the 33rd annual Design Automation Conference
A hardware/software partitioning algorithm for designing pipelined ASIPs with least gate counts
DAC '96 Proceedings of the 33rd annual Design Automation Conference
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
ISLPED '96 Proceedings of the 1996 international symposium on Low power electronics and design
Power analysis of a 32-bit RISC microcontroller integrated with a 16-bit DSP
ISLPED '97 Proceedings of the 1997 international symposium on Low power electronics and design
Low power data processing by elimination of redundant computations
ISLPED '97 Proceedings of the 1997 international symposium on Low power electronics and design
Low energy memory and register allocation using network flow
DAC '97 Proceedings of the 34th annual Design Automation Conference
DSP address optimization using a minimum cost circulation technique
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Power optimization using divide-and-conquer techniques for minimization of the number of operations
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
High performance DSPs - what's hot and what's not?
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
Low-Power Design for Real-Time Systems
Real-Time Systems
Power efficient mediaprocessors: design space exploration
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
A bitmap scaling and rotation design for SH1 low power CPU
MSWiM '99 Proceedings of the 2nd ACM international workshop on Modeling, analysis and simulation of wireless and mobile systems
Energy-efficient design of battery-powered embedded systems
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
System-level power optimization: techniques and tools
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
Power optimization using divide-and-conquer techniques for minimization of the number of operations
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Energy estimation for 32-bit microprocessors
CODES '00 Proceedings of the eighth international workshop on Hardware/software codesign
Instruction-level power estimation for embedded VLIW cores
CODES '00 Proceedings of the eighth international workshop on Hardware/software codesign
System-level power optimization: techniques and tools
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Power minimization derived from architectural-usage of VLIW processors
Proceedings of the 37th Annual Design Automation Conference
Power analysis of embedded operating systems
Proceedings of the 37th Annual Design Automation Conference
An instruction-level functionally-based energy estimation model for 32-bits microprocessors
Proceedings of the 37th Annual Design Automation Conference
Function-level power estimation methodology for microprocessors
Proceedings of the 37th Annual Design Automation Conference
Efficient power co-estimation techniques for system-on-chip design
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Cycle-accurate energy consumption measurement and analysis: case study of ARM7TDMI
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
Speeding up power estimation of embedded software
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
Profile-driven code execution for low power dissipation (poster session)
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
Energy estimation tools for the Palm
Proceedings of the 3rd ACM international workshop on Modeling, analysis and simulation of wireless and mobile systems
ACM SIGPLAN Notices
Journal of VLSI Signal Processing Systems
Proceedings of the conference on Design, automation and test in Europe
Instruction scheduling for power reduction in processor-based system design
Proceedings of the conference on Design, automation and test in Europe
Trace-driven system-level power evaluation of system-on-a-chip peripheral cores
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
A systematic approach to software peripherals for embedded systems
Proceedings of the ninth international symposium on Hardware/software codesign
JouleTrack: a web based tool for software energy profiling
Proceedings of the 38th annual Design Automation Conference
ASPLOS IX Proceedings of the ninth international conference on Architectural support for programming languages and operating systems
A hardware/software co-design flow and IP library based on simulink
Proceedings of the 38th annual Design Automation Conference
High-level software energy macro-modeling
Proceedings of the 38th annual Design Automation Conference
Power-aware modulo scheduling for high-performance VLIW processors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Run-time power estimation in high performance microprocessors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Software implementation strategies for power-conscious systems
Mobile Networks and Applications
An Accurate Instruction-Level Energy Consumption Model for Embedded RISC Processors
OM '01 Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems
Current consumption dynamics at instruction and program level for a VLIW DSP processor
Proceedings of the 14th international symposium on Systems synthesis
Dynamic modeling of inter-instruction effects for execution time estimation
Proceedings of the 14th international symposium on Systems synthesis
A Survey of Energy Efficient Network Protocols for Wireless Networks
Wireless Networks
ISSS '00 Proceedings of the 13th international symposium on System synthesis
Instruction-based system-level power evaluation of system-on-a-chip peripheral cores
ISSS '00 Proceedings of the 13th international symposium on System synthesis
A multi-level strategy for software power estimation
ISSS '00 Proceedings of the 13th international symposium on System synthesis
Source code optimization and profiling of energy consumption in embedded systems
ISSS '00 Proceedings of the 13th international symposium on System synthesis
Cycle-accurate energy measurement and characterization with a case study of the ARM7TDMI
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Energy aware compilation for DSPs with SIMD instructions
Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems
Energy estimation and optimization of embedded VLIW processors based on instruction clustering
Proceedings of the 39th annual Design Automation Conference
Towards energy-aware software-based fault tolerance in real-time systems
Proceedings of the 2002 international symposium on Low power electronics and design
Every joule is precious: the case for revisiting operating system design for energy efficiency
EW 9 Proceedings of the 9th workshop on ACM SIGOPS European workshop: beyond the PC: new challenges for the operating system
Design of embedded systems: formal models, validation, and synthesis
Readings in hardware/software co-design
Power estimation of embedded systems: a hardware/software codesign approach
Readings in hardware/software co-design
Energy-Scalable Protocols for Battery-Operated MicroSensor Networks
Journal of VLSI Signal Processing Systems - Special issue on signal processing systems design and implementation
Security-driven exploration of cryptography in DSP cores
Proceedings of the 15th international symposium on System Synthesis
Power exploration for embedded VLIW architectures
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
System-level exploration for pareto-optimal configurations in parameterized systems-on-a-chip
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
An assembly-level execution-time model for pipelined architectures
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Application-driven processor design exploration for power-performance trade-off analysis
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
IC power distribution challenges
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Adaptive Optimizing Compilers for the 21st Century
The Journal of Supercomputing
Cosimulation-based power estimation for system-on-chip design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Low-energy off-chip SDRAM memory systems for embedded applications
ACM Transactions on Embedded Computing Systems (TECS)
Energy Metric for Software Systems
Software Quality Control
Instruction-level power consumption estimation of embedded processors for low-power applications
Computer Standards & Interfaces - Intelligent data acquisition and advanced computing systems
Energy-Aware Instruction Scheduling
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
ISHPC '02 Proceedings of the 4th International Symposium on High Performance Computing
ISHPC '02 Proceedings of the 4th International Symposium on High Performance Computing
Ada-Europe '02 Proceedings of the 7th Ada-Europe International Conference on Reliable Software Technologies
Power Consumption Estimation of a C Program for Data-Intensive Applications
PATMOS '02 Proceedings of the 12th International Workshop on Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation
Instrumentation Set-up for Instruction Level Power Modeling
PATMOS '02 Proceedings of the 12th International Workshop on Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation
Compiler optimization on VLIW instruction scheduling for low power
ACM Transactions on Design Automation of Electronic Systems (TODAES)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Fast system-level power profiling for battery-efficient system design
Proceedings of the tenth international symposium on Hardware/software codesign
Compiler optimizations for low power systems
Power aware computing
Power aware computing
Control Techniques to Eliminate Voltage Emergencies in High Performance Processors
HPCA '03 Proceedings of the 9th International Symposium on High-Performance Computer Architecture
Instruction Level Power Analysis and Optimization of Software
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Functional Partitioning for Low Power Distributed Systems of Systems-on-a-chip
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
Input Space Adaptive Embedded Software Synthesis
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Increasing the number of effective registers in a low-power processor using a windowed register file
Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems
Graphical user interface energy characterization for handheld computers
Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems
The Performance and Energy Consumption of Embedded Real-Time Operating Systems
IEEE Transactions on Computers
Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data
Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
Proceedings of the conference on Design, automation and test in Europe - Volume 1
A Simulation-Based Power-Aware Architecture Exploration of a Multiprocessor System-on-Chip Design
Proceedings of the conference on Design, automation and test in Europe - Volume 3
Instruction Scheduling for Low Power
Journal of VLSI Signal Processing Systems
Design of secure cryptography against the threat of power-attacks in DSP-embedded processors
ACM Transactions on Embedded Computing Systems (TECS)
Iterative schedule optimization for voltage scalable distributed embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Managing battery lifetime with energy-aware adaptation
ACM Transactions on Computer Systems (TOCS)
Managing battery lifetime with energy-aware adaptation
ACM Transactions on Computer Systems (TOCS)
Cycle-accurate power analysis for multiprocessor systems-on-a-chip
Proceedings of the 14th ACM Great Lakes symposium on VLSI
Energy estimation of peripheral devices in embedded systems
Proceedings of the 14th ACM Great Lakes symposium on VLSI
Application-level prediction of battery dissipation
Proceedings of the 2004 international symposium on Low power electronics and design
Compiler based exploration of DSP energy savings by SIMD operations
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
A VLIW low power Java processor for embedded applications
SBCCI '04 Proceedings of the 17th symposium on Integrated circuits and system design
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Energy Estimation for Extensible Processors
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Implementation and Evaluation of an On-Demand Parameter-Passing Strategy for Reducing Energy
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
High-level power analysis for on-chip networks
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
Web-Based Energy Exploration Tool for Embedded Systems
IEEE Design & Test
Early Assessment of Leakage Power for System Level Design
ISQED '05 Proceedings of the 6th International Symposium on Quality of Electronic Design
Application of Binary Translation to Java Reconfigurable Architectures
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 3 - Volume 04
Power emulation: a new paradigm for power estimation
Proceedings of the 42nd annual Design Automation Conference
Proceedings of the 42nd annual Design Automation Conference
Instantaneous current modeling in a complex VLIW processor core
ACM Transactions on Embedded Computing Systems (TECS)
Partitioning Variables across Register Windows to Reduce Spill Code in a Low-Power Processor
IEEE Transactions on Computers
DIALM-POMC '05 Proceedings of the 2005 joint workshop on Foundations of mobile computing
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Reconfigurable embedded systems: Synthesis, design and application
Petri nets tools integration through Eclipse
eclipse '05 Proceedings of the 2005 OOPSLA workshop on Eclipse technology eXchange
PowerViP: Soc power estimation framework at transaction level
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Run-time energy estimation in system-on-a-chip designs
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Instruction scheduling of VLIW architectures for balanced power consumption
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
An AMBA AHB-based reconfigurable SOC architecture using multiplicity of dedicated flyby DMA blocks
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Dynamic current modeling at the instruction level
Proceedings of the 2006 international symposium on Low power electronics and design
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Instruction level energy modeling for pipelined processors
Journal of Embedded Computing - Low-power Embedded Systems
Power and accuracy trade-offs in sound-based context recognition systems
Pervasive and Mobile Computing
Accurate and fast system-level power modeling: An XScale-based case study
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Power macromodeling of MPSoC message passing primitives
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Power Estimation Under User-Specified Input Sequences and Programs
Integrated Computer-Aided Engineering
Partitioning of Hardware-Software Embedded Systems: A Metrics-based Approach
Integrated Computer-Aided Engineering
VLIW instruction scheduling for minimal power variation
ACM Transactions on Architecture and Code Optimization (TACO)
Accelerating system-on-chip power analysis using hybrid power estimation
Proceedings of the 44th annual Design Automation Conference
Object and method exploration for embedded systems applications
Proceedings of the 20th annual conference on Integrated circuits and systems design
EURASIP Journal on Applied Signal Processing
Energy conscious factory method design pattern for mobile devices with C# and intermediate language
Mobility '06 Proceedings of the 3rd international conference on Mobile technology, applications & systems
Accurate and fast system-level power modeling: An XScale-based case study
ACM Transactions on Embedded Computing Systems (TECS)
Algorithms and analysis of scheduling for loops with minimum switching
International Journal of Computational Science and Engineering
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Impact of JVM superoperators on energy consumption in resource-constrained embedded systems
Proceedings of the 2008 ACM SIGPLAN-SIGBED conference on Languages, compilers, and tools for embedded systems
MTSS: Multitask stack sharing for embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Proceedings of the 45th annual Design Automation Conference
Automatic Power Model Generation for Sensor Network Simulator
ICESS '07 Proceedings of the 3rd international conference on Embedded Software and Systems
SPOCS: Application Specific Signal Processor for OFDM Communication Systems
Journal of Signal Processing Systems
A component infrastructure for performance and power modeling of parallel scientific applications
Proceedings of the 2008 compFrame/HPC-GECO workshop on Component based high performance
User-Centric Prediction for Battery Lifetime of Mobile Devices
APNOMS '08 Proceedings of the 11th Asia-Pacific Symposium on Network Operations and Management: Challenges for Next Generation Network Operations and Service Management
Power Aware External Bus Arbitration for System-on-a-Chip Embedded Systems
Transactions on High-Performance Embedded Architectures and Compilers I
A Formal Approach for Estimating Embedded System Execution Time and Energy Consumption
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation
Memory allocation for embedded systems with a compile-time-unknown scratch-pad size
ACM Transactions on Embedded Computing Systems (TECS)
Playing the trade-off game: Architecture exploration using Coffeee
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The Collatz Problem in a New Perspective: Energy Consumption Analysis
Proceedings of the 2005 conference on New Trends in Software Methodologies, Tools and Techniques: Proceedings of the fourth SoMeT_W05
Energy-Aware Loop Scheduling and Assignment for Multi-Core, Multi-Functional-Unit Architecture
Journal of Signal Processing Systems
Efficient dynamic voltage/frequency scaling through algorithmic loop transformation
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
ESL power analysis of embedded processors for temperature and reliability estimations
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Developing an environment for embedded software energy estimation
Computer Standards & Interfaces
Energy simulation of embedded XScale systems with XEEMU
Journal of Embedded Computing - PATMOS 2007 selected papers on low power electronics
A dynamic frequency scaling solution to DPM in embedded linux systems
IRI'09 Proceedings of the 10th IEEE international conference on Information Reuse & Integration
Efficient off-board deployment and customization of virtual machine-based embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Sunflower: full-system, embedded, microarchitecture evaluation
HiPEAC'07 Proceedings of the 2nd international conference on High performance embedded architectures and compilers
Instruction balance and its relation to program energy consumption
LCPC'01 Proceedings of the 14th international conference on Languages and compilers for parallel computing
A study of energy saving in customizable processors
SAMOS'07 Proceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation
Data cache-energy and throughput models: design exploration for embedded processors
EURASIP Journal on Embedded Systems - Special issue on design and architectures for signal and image processing
Generating power-hungry test programs for power-aware validation of pipelined processors
SBCCI '10 Proceedings of the 23rd symposium on Integrated circuits and system design
A precise high-level power consumption model for embedded systems software
EURASIP Journal on Embedded Systems
A formal model for performance and energy evaluation of embedded systems
EURASIP Journal on Embedded Systems - Special issue on networked embedded systems for energy management and buildings
SOFSEM'11 Proceedings of the 37th international conference on Current trends in theory and practice of computer science
A Green Computing Based Architecture Comparison and Analysis
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
FILESPPA: Fast Instruction Level Embedded System Power and Performance Analyzer
Microprocessors & Microsystems
Instruction level and operating system profiling for energy exposed software
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Resource-constrained multiprocessor synthesis for floating-point applications on FPGAs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
PowerDepot: integrating IP-based power modeling with ESL power analysis for multi-core SoC designs
Proceedings of the 48th Design Automation Conference
Layered Green Performance Indicators
Future Generation Computer Systems
An Executing Method for Time and Energy Optimization in Heterogeneous Computing
GREENCOM '11 Proceedings of the 2011 IEEE/ACM International Conference on Green Computing and Communications
Estimating energy consumption for an MPSoC architectural exploration
ARCS'06 Proceedings of the 19th international conference on Architecture of Computing Systems
An energy reduction scheduling mechanism for a high-performance soc architecture
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
ICATPN'06 Proceedings of the 27th international conference on Applications and Theory of Petri Nets and Other Models of Concurrency
An energy-aware whole-system dynamic emulator – skyeye
EUC'06 Proceedings of the 2006 international conference on Emerging Directions in Embedded and Ubiquitous Computing
Invited talk: in-house tools for low-power embedded systems
ICESS'04 Proceedings of the First international conference on Embedded Software and Systems
A functionality based instruction level software power estimation model for embedded RISC processors
ICESS'04 Proceedings of the First international conference on Embedded Software and Systems
Skyeye: an instruction simulator with energy awareness
ICESS'04 Proceedings of the First international conference on Embedded Software and Systems
An intelligent power management scheme for wireless embedded systems using channel state feedbacks
FSKD'05 Proceedings of the Second international conference on Fuzzy Systems and Knowledge Discovery - Volume Part II
A power-efficient design employing an extreme condition detector for embedded systems
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part IV
Journal of Systems and Software
A retargetable environment for power-aware code evaluation: an approach based on coloured petri net
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Power consumption characterisation of the texas instruments TMS320VC5510 DSP
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Energy-efficient software implementation of long integer modular arithmetic
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
Power modeling of a noc based design for high speed telecommunication systems
PATMOS'06 Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
An efficient power estimation methodology for complex RISC processor-based platforms
Proceedings of the great lakes symposium on VLSI
Journal of Systems and Software
Power Modeling and Characterization of Computing Devices: A Survey
Foundations and Trends in Electronic Design Automation
XEEMU: an improved xscale power simulator
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
Calculating source line level energy information for Android applications
Proceedings of the 2013 International Symposium on Software Testing and Analysis
Estimating mobile application energy consumption using program analysis
Proceedings of the 2013 International Conference on Software Engineering
Creation of ESL power models for communication architectures using automatic calibration
Proceedings of the 50th Annual Design Automation Conference
An automatic energy consumption characterization of processors using ArchC
Journal of Systems Architecture: the EUROMICRO Journal
Leakage energy estimates for HPC applications
E2SC '13 Proceedings of the 1st International Workshop on Energy Efficient Supercomputing
ELEON3LP - Superscalar and low-power enhancements of single issue general purpose processor model
Microprocessors & Microsystems
Automated, retargetable back-annotation for host compiled performance and power modeling
Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis
Fast and accurate power estimation method based on a PMU counter
Proceedings of the 8th International Conference on Ubiquitous Information Management and Communication
Measurement-based research on cryptographic algorithms for embedded real-time systems
Journal of Systems Architecture: the EUROMICRO Journal
Journal of Systems Architecture: the EUROMICRO Journal
Hi-index | 0.01 |
Embedded computer systems are characterized by the presence of a dedicated processor and the software that runs on it. Power constraints are increasingly becoming the critical component of the design specification of these systems. At present, however, power analysis tools can only be applied at the lower levels of the design-the circuit or gate level. It is either impractical or impossible to use the lower level tools to estimate the power cost of the software component of the system. This paper describes the first systematic attempt to model this power cost. A power analysis technique is developed that has been applied to two commercial microprocessors-Intel 486DX2 and Fujitsu SPARClite 934. This technique can be employed to evaluate the power cost of embedded software. This can help in verifying if a design meets its specified power constraints. Further, it can also be used to search the design space in software power optimization. Examples with power reduction of up to 40%, obtained by rewriting code using the information provided by the instruction level power model, illustrate the potential of this idea.