Decomposable and responsive power models for multicore processors using performance counters

  • Authors:
  • Ramon Bertran;Marc Gonzalez;Xavier Martorell;Nacho Navarro;Eduard Ayguade

  • Affiliations:
  • Universitat Politècnica de Catalunya, Barcelona, Spain and Barcelona Supercomputing Center, Barcelona, Spain;Universitat Politècnica de Catalunya, Barcelona, Spain and Barcelona Supercomputing Center, Barcelona, Spain;Universitat Politècnica de Catalunya, Barcelona, Spain and Barcelona Supercomputing Center, Barcelona, Spain;Universitat Politècnica de Catalunya, Barcelona, Spain and Barcelona Supercomputing Center, Barcelona, Spain;Universitat Politècnica de Catalunya, Barcelona, Spain and Barcelona Supercomputing Center, Barcelona, Spain

  • Venue:
  • Proceedings of the 24th ACM International Conference on Supercomputing
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

Power modeling based on performance monitoring counters (PMCs) attracted the interest of researchers since it became a quick approach to understand and analyse power behavior on real systems. As a result, several power-aware policies use power models to guide their decisions and to trigger low-level mechanisms such as voltage and frequency scaling. Hence, the presence of power models that are informative, accurate and capable of detecting power phases is critical to increase the power-aware research chances and to improve the success of power-saving techniques based on them. In addition, the design of current processors has varied considerably with the inclusion of multiple cores with some resources shared on a single die. As a result, PMC-based power models warrant further investigation on current energy-efficient multi-core processors. In this paper, we present a methodology to produce decomposable PMC-based power models on current multicore architectures. Apart from being able to estimate the power consumption accurately, the models provide per component power consumption, supplying extra insights about power behavior. Moreover, we validate their responsiveness -the capacity to detect power phases-. Specifically, we produce a set of power models for an Intel® Core™ 2 Duo. We model one and two cores for a wide set of DVFS configurations. The models are empirically validated by using the SPEC-cpu2006 benchmark suite and we compare them to other models built using existing approaches. Overall, we demonstrate that the proposed methodology produces more accurate and responsive power models. Concretely, our models show a [1.89--6]% error range and almost 100% accuracy in detecting phase variations above 0.5 watts.