Power and thermal characterization of POWER6 system

  • Authors:
  • Victor Jiménez;Francisco J. Cazorla;Roberto Gioiosa;Mateo Valero;Carlos Boneti;Eren Kursun;Chen-Yong Cher;Canturk Isci;Alper Buyuktosunoglu;Pradip Bose

  • Affiliations:
  • Barcelona Supercomputer Center, Barcelona, Spain;Barcelona Supercomputing Center, Barcelona, Spain;Barcelona Supercomputing Center, Barcelona, Spain;Barcelona Supercomputing Center, Barcelona, Spain;Schlumberger BRGC, Rio de Janeiro, Brazil;IBM T.J. Watson Research Center, Yorktown Heights, USA;IBM T.J. Watson Research Center, Yorktown Heights, USA;IBM T.J. Watson Research Center, Yorktown Heights, USA;IBM T.J. Watson Research Center, Yorktown Heights, USA;IBM T.J. Watson Research Center, Yorktown Heights, USA

  • Venue:
  • Proceedings of the 19th international conference on Parallel architectures and compilation techniques
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

Controlling power consumption and temperature is of major concern for modern computing systems. In this work we characterize thermal behavior and power consumption of an IBM POWER6-based system. We perform the characterization at several levels: application, operating system, and hardware level, both when the system is idle, and under load. At hardware level, we report a 25% reduction in total system power consumption by using the processor low power mode. We also study the effect of the hardware thread prioritization mechanism provided by POWER6 on different workloads and how this mechanism can be used to limit power consumption. At OS level, we analyze the power reduction techniques implemented in the Linux kernel, such as the tickless kernel and the CPU idle power manager. At application level, we characterize the power consumption and the temperature of two sets of benchmarks (METbench and SPEC CPU2006) and we study the effect of workload characteristics on power consumption and core temperature. From this characterization we derive a model based on performance counters that allows us to predict the total power consumption of the POWER6 system with an average error under 3% for CMP and 5% for SMT. To the best of our knowledge, this is the first power model of a system including CMP+SMT processors. Finally, we show that the static decision on whether to consolidate tasks into the same core/chip, as it is currently done in Linux, can be improved by dynamically considering the low-power capabilities of the underlying architecture and the characteristics of the application (up to 5X improvement in ED2P).