Managing energy and server resources in hosting centers
SOSP '01 Proceedings of the eighteenth ACM symposium on Operating systems principles
Critical power slope: understanding the runtime effects of frequency scaling
ICS '02 Proceedings of the 16th international conference on Supercomputing
The case for power management in web servers
Power aware computing
Making scheduling "cool": temperature-aware workload placement in data centers
ATEC '05 Proceedings of the annual conference on USENIX Annual Technical Conference
Power provisioning for a warehouse-sized computer
Proceedings of the 34th annual international symposium on Computer architecture
ICAC '07 Proceedings of the Fourth International Conference on Autonomic Computing
ATC'07 2007 USENIX Annual Technical Conference on Proceedings of the USENIX Annual Technical Conference
Delivering energy proportionality with non energy-proportional systems: optimizing the ensemble
HotPower'08 Proceedings of the 2008 conference on Power aware computing and systems
Integrating NAND flash devices onto servers
Communications of the ACM - A Direct Path to Dependable Software
Cutting the electric bill for internet-scale systems
Proceedings of the ACM SIGCOMM 2009 conference on Data communication
DEEP-SaM - Energy-Efficient Provisioning Policies for Computing Environments
GECON '09 Proceedings of the 6th International Workshop on Grid Economics and Business Models
Leveraging 3D PCRAM technologies to reduce checkpoint overhead for future exascale systems
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis
Computer Networks: The International Journal of Computer and Telecommunications Networking
Power routing: dynamic power provisioning in the data center
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
Joint optimization of idle and cooling power in data centers while maintaining response time
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
On the energy (in)efficiency of Hadoop clusters
ACM SIGOPS Operating Systems Review
Proceedings of the 7th ACM international conference on Computing frontiers
Optimal sleep patterns for serving delay-tolerant jobs
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
Event-driven processor power management
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
Greening the internet with content-centric networking
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
Robust and flexible power-proportional storage
Proceedings of the 1st ACM symposium on Cloud computing
WiDGET: Wisconsin decoupled grid execution tiles
Proceedings of the 37th annual international symposium on Computer architecture
Rethinking DRAM design and organization for energy-constrained multi-cores
Proceedings of the 37th annual international symposium on Computer architecture
A system for online power prediction in virtualized environments using Gaussian mixture models
Proceedings of the 47th Design Automation Conference
Dynamic workload characterization for power efficient scheduling on CMP systems
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Energy-aware routing in data center network
Proceedings of the first ACM SIGCOMM workshop on Green networking
NapSAC: design and implementation of a power-proportional web cluster
Proceedings of the first ACM SIGCOMM workshop on Green networking
Lightning: self-adaptive, energy-conserving, multi-zoned, commodity green cloud storage system
Proceedings of the 19th ACM International Symposium on High Performance Distributed Computing
Power and thermal characterization of POWER6 system
Proceedings of the 19th international conference on Parallel architectures and compilation techniques
USENIXATC'10 Proceedings of the 2010 USENIX conference on USENIX annual technical conference
Estimating environmental costs
SustainIT'10 Proceedings of the First USENIX conference on Sustainable information technology
Wimpy node clusters: what about non-wimpy workloads?
Proceedings of the Sixth International Workshop on Data Management on New Hardware
vGreen: A System for Energy-Efficient Management of Virtual Machines
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Modelling of staged routing for reduced carbon footprints of large server clusters
International Journal of Communication Networks and Distributed Systems
Energy management for MapReduce clusters
Proceedings of the VLDB Endowment
Energy conservation in multi-tenant networks through power virtualization
HotPower'10 Proceedings of the 2010 international conference on Power aware computing and systems
Green server design: beyond operational energy to sustainability
HotPower'10 Proceedings of the 2010 international conference on Power aware computing and systems
NapSAC: design and implementation of a power-proportional web cluster
ACM SIGCOMM Computer Communication Review
Blink: managing server clusters on intermittent power
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
Dynamic knobs for responsive power-aware computing
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
MemScale: active low-power modes for main memory
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
Towards more effective utilization of computer systems
Proceedings of the 2nd ACM/SPEC International Conference on Performance engineering
Server farms' power consumption minimized via best allocation of servers and ancillary equipments
AIKED'11 Proceedings of the 10th WSEAS international conference on Artificial intelligence, knowledge engineering and data bases
Hybrid checkpointing using emerging nonvolatile memories for future exascale systems
ACM Transactions on Architecture and Code Optimization (TACO)
Improving server power management in research and development data centers
COMPUTE '11 Proceedings of the Fourth Annual ACM Bangalore Conference
Sustainable predictive storage management: on-line grouping for energy and latency reduction
Proceedings of the 4th Annual International Conference on Systems and Storage
Parallelism orchestration using DoPE: the degree of parallelism executive
Proceedings of the 32nd ACM SIGPLAN conference on Programming language design and implementation
Low-energy automated scheduling of computing resources
Proceedings of the 1st ACM/IEEE workshop on Autonomic computing in economics
How much power oversubscription is safe and allowed in data centers
Proceedings of the 8th ACM international conference on Autonomic computing
Memory power management via dynamic voltage/frequency scaling
Proceedings of the 8th ACM international conference on Autonomic computing
Power management of online data-intensive services
Proceedings of the 38th annual international symposium on Computer architecture
Benefits and limitations of tapping into stored energy for datacenters
Proceedings of the 38th annual international symposium on Computer architecture
Proceedings of the 38th annual international symposium on Computer architecture
Energy-price-driven query processing in multi-center web search engines
Proceedings of the 34th international ACM SIGIR conference on Research and development in Information Retrieval
Memory energy management for an enterprise decision support system
Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design
Energy efficient scheduling for multithreaded programs on general-purpose processors
Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design
Dimetrodon: processor-level preventive thermal management via idle cycle injection
Proceedings of the 48th Design Automation Conference
A survey on energy-efficient data management
ACM SIGMOD Record
The case for sleep states in servers
HotPower '11 Proceedings of the 4th Workshop on Power-Aware Computing and Systems
CyberGuarder: A virtualization security assurance architecture for green cloud computing
Future Generation Computer Systems
Energy efficient scheduling of MapReduce workloads on heterogeneous clusters
Green Computing Middleware on Proceedings of the 2nd International Workshop
Identifying the optimal energy-efficient operating points of parallel workloads
Proceedings of the International Conference on Computer-Aided Design
Efficient memory management of a hierarchical and a hybrid main memory for MN-MATE platform
Proceedings of the 2012 International Workshop on Programming Models and Applications for Multicores and Manycores
Gossip-based resource allocation for green computing in large clouds
Proceedings of the 7th International Conference on Network and Services Management
Future Generation Computer Systems
Totally green: evaluating and designing servers for lifecycle environmental impact
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
DreamWeaver: architectural support for deep sleep
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
Pack & Cap: adaptive DVFS and thread packing under power caps
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
Energy efficiency for large-scale MapReduce workloads with significant interactive analysis
Proceedings of the 7th ACM european conference on Computer Systems
Transparent Online Storage Compression at the Block-Level
ACM Transactions on Storage (TOS)
KnightShift: shifting the I/O burden in datacenters to management processor for energy efficiency
ISCA'10 Proceedings of the 2010 international conference on Computer Architecture
Achieving power-efficiency in clusters without distributed file system complexity
ISCA'10 Proceedings of the 2010 international conference on Computer Architecture
Energy efficient utilization of resources in cloud computing systems
The Journal of Supercomputing
An energy aware framework for virtual machine placement in cloud federated data centres
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
Evaluating and modeling power consumption of multi-core processors
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
Modeling and analyzing power management policies in server farms using stochastic Petri nets
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
Improving energy efficiency for mobile platforms by exploiting low-power sleep states
Proceedings of the 9th conference on Computing Frontiers
Compiling for niceness: mitigating contention for QoS in warehouse scale computers
Proceedings of the Tenth International Symposium on Code Generation and Optimization
Proceedings of the 26th ACM international conference on Supercomputing
Multiple sub-row buffers in DRAM: unlocking performance and energy improvement opportunities
Proceedings of the 26th ACM international conference on Supercomputing
Optimizing the energy consumption of large-scale applications
Proceedings of the 8th international ACM SIGSOFT conference on Quality of Software Architectures
SLA-based Optimization of Power and Migration Cost in Cloud Computing
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
Evolutionary multiobjective optimization for green clouds
Proceedings of the 14th annual conference companion on Genetic and evolutionary computation
Managing distributed ups energy for effective power capping in data centers
Proceedings of the 39th Annual International Symposium on Computer Architecture
iSwitch: coordinating and optimizing renewable energy powered server clusters
Proceedings of the 39th Annual International Symposium on Computer Architecture
Building a power-proportional software router
USENIX ATC'12 Proceedings of the 2012 USENIX conference on Annual Technical Conference
Concurrency and Computation: Practice & Experience
Towards a net-zero data center
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Barely alive memory servers: Keeping data active in a low-power state
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Optimizing datacenter power with memory system levers for guaranteed quality-of-service
Proceedings of the 21st international conference on Parallel architectures and compilation techniques
Proceedings of the 9th international conference on Autonomic computing
Workload diversity and dynamics in big data analytics: implications to system designers
Proceedings of the 2nd Workshop on Architectures and Systems for Big Data
AutoScale: Dynamic, Robust Capacity Management for Multi-Tier Data Centers
ACM Transactions on Computer Systems (TOCS)
Energy-efficient deadline scheduling for heterogeneous systems
Journal of Parallel and Distributed Computing
RAMZzz: rank-aware dram power management with dynamic migrations and demotions
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Using batteries to reduce the power costs of internet-scale distributed networks
Proceedings of the Third ACM Symposium on Cloud Computing
Power-aware resource allocation for CPU-and memory-intense internet services
E2DC'12 Proceedings of the First international conference on Energy Efficient Data Centers
Energy usage and carbon emission optimization mechanism for federated data centers
E2DC'12 Proceedings of the First international conference on Energy Efficient Data Centers
A survey of architectural techniques for DRAM power management
International Journal of High Performance Systems Architecture
lisa'12 Proceedings of the 26th international conference on Large Installation System Administration: strategies, tools, and techniques
Themis: energy efficient management of workloads in virtualized data centers
Euro-Par'12 Proceedings of the 18th international conference on Parallel processing workshops
Power containers: an OS facility for fine-grained power and energy management on multicore servers
Proceedings of the eighteenth international conference on Architectural support for programming languages and operating systems
ReQoS: reactive static/dynamic compilation for QoS in warehouse scale computers
Proceedings of the eighteenth international conference on Architectural support for programming languages and operating systems
Computational sprinting on a hardware/software testbed
Proceedings of the eighteenth international conference on Architectural support for programming languages and operating systems
Leveraging Heterogeneity in DRAM Main Memories to Accelerate Critical Word Access
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
KnightShift: Scaling the Energy Proportionality Wall through Server-Level Heterogeneity
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
CoScale: Coordinating CPU and Memory System DVFS in Server Systems
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
Behavioral model for cloud aware load and power management
Proceedings of the 2013 international workshop on Hot topics in cloud services
On understanding the energy consumption of ARM-based multicore servers
Proceedings of the ACM SIGMETRICS/international conference on Measurement and modeling of computer systems
Exact analysis of the M/M/k/setup class of Markov chains via recursive renewal reward
Proceedings of the ACM SIGMETRICS/international conference on Measurement and modeling of computer systems
Towards energy-proportional computing for enterprise-class server workloads
Proceedings of the 4th ACM/SPEC International Conference on Performance Engineering
Inter cloud capable dynamic resource management with model of behavior
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Energy efficiency for MapReduce workloads: an in-depth study
ADC '12 Proceedings of the Twenty-Third Australasian Database Conference - Volume 124
Agile, efficient virtualization power management with low-latency server power states
Proceedings of the 40th Annual International Symposium on Computer Architecture
Catnap: energy proportional multiple network-on-chip
Proceedings of the 40th Annual International Symposium on Computer Architecture
Virtualizing power distribution in datacenters
Proceedings of the 40th Annual International Symposium on Computer Architecture
Bubble-flux: precise online QoS management for increased utilization in warehouse scale computers
Proceedings of the 40th Annual International Symposium on Computer Architecture
Green data centers: Using hierarchies for scalable energy efficiency in large web clusters
Information Processing Letters
Exploiting Redundancies and Deferred Writes to Conserve Energy in Erasure-Coded Storage Clusters
ACM Transactions on Storage (TOS)
Power management of multi-core chips: challenges and pitfalls
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Reducing the energy cost of computing through efficient co-scheduling of parallel workloads
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
State-of-the-art research study for green cloud computing
The Journal of Supercomputing
EnergIT: A Methodology for the Incremental Green Design of Data Centers
International Journal of Green Computing
SMT-centric power-aware thread placement in chip multiprocessors
PACT '13 Proceedings of the 22nd international conference on Parallel architectures and compilation techniques
Network interface design for low latency request-response protocols
USENIX ATC'13 Proceedings of the 2013 USENIX conference on Annual Technical Conference
Bio-inspired service management framework: green data-centres case study
International Journal of Grid and Utility Computing
Towards greener data centers with storage class memory
Future Generation Computer Systems
Market mechanisms for managing datacenters with heterogeneous microarchitectures
ACM Transactions on Computer Systems (TOCS)
Ubik: efficient cache sharing with strict qos for latency-critical workloads
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
Underprovisioning backup power infrastructure for datacenters
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
GDCSim: A simulator for green data center design and analysis
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue on simulation in complex service systems
Performance tradeoffs of energy-aware virtual machine consolidation
Cluster Computing
A survey on Green communications using Adaptive Link Rate
Cluster Computing
Optimizing Energy Consumption with Task Consolidation in Clouds
Information Sciences: an International Journal
Hi-index | 0.01 |
Data center power consumption is growing to unprecedented levels: the EPA estimates U.S. data centers will consume 100 billion kilowatt hours annually by 2011. Much of this energy is wasted in idle systems: in typical deployments, server utilization is below 30%, but idle servers still consume 60% of their peak power draw. Typical idle periods though frequent--last seconds or less, confounding simple energy-conservation approaches. In this paper, we propose PowerNap, an energy-conservation approach where the entire system transitions rapidly between a high-performance active state and a near-zero-power idle state in response to instantaneous load. Rather than requiring fine-grained power-performance states and complex load-proportional operation from each system component, PowerNap instead calls for minimizing idle power and transition time, which are simpler optimization goals. Based on the PowerNap concept, we develop requirements and outline mechanisms to eliminate idle power waste in enterprise blade servers. Because PowerNap operates in low-efficiency regions of current blade center power supplies, we introduce the Redundant Array for Inexpensive Load Sharing (RAILS), a power provisioning approach that provides high conversion efficiency across the entire range of PowerNap's power demands. Using utilization traces collected from enterprise-scale commercial deployments, we demonstrate that, together, PowerNap and RAILS reduce average server power consumption by 74%.