Queue - Virtualization
GreenFS: making enterprise computers greener by protecting them better
Proceedings of the 3rd ACM SIGOPS/EuroSys European Conference on Computer Systems 2008
Fine-grained energy profiling for power-aware application design
ACM SIGMETRICS Performance Evaluation Review
The cost of a cloud: research problems in data center networks
ACM SIGCOMM Computer Communication Review
Active Energy-Aware Management of Business-Process Based Applications
ServiceWave '08 Proceedings of the 1st European Conference on Towards a Service-Based Internet
PowerNap: eliminating server idle power
Proceedings of the 14th international conference on Architectural support for programming languages and operating systems
Data analysis, visualization and knowledge discovery in sustainable data centers
Proceedings of the 2nd Bangalore Annual Compute Conference
Koala: a platform for OS-level power management
Proceedings of the 4th ACM European conference on Computer systems
Making cluster applications energy-aware
ACDC '09 Proceedings of the 1st workshop on Automated control for datacenters and clouds
GreenCloud: a new architecture for green data center
ICAC-INDST '09 Proceedings of the 6th international conference industry session on Autonomic computing and communications industry session
Skilled in the art of being idle: reducing energy waste in networked systems
NSDI'09 Proceedings of the 6th USENIX symposium on Networked systems design and implementation
A Power Benchmarking Framework for Network Devices
NETWORKING '09 Proceedings of the 8th International IFIP-TC 6 Networking Conference
Cutting the electric bill for internet-scale systems
Proceedings of the ACM SIGCOMM 2009 conference on Data communication
Tracking the power in an enterprise decision support system
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
On the energy-efficiency of software transactional memory
Proceedings of the 22nd Annual Symposium on Integrated Circuits and System Design: Chip on the Dunes
FAWN: a fast array of wimpy nodes
Proceedings of the ACM SIGOPS 22nd symposium on Operating systems principles
PDRAM: a hybrid PRAM and DRAM main memory system
Proceedings of the 46th Annual Design Automation Conference
Performance evaluation of energy efficient ethernet
IEEE Communications Letters
Greening the internet with nano data centers
Proceedings of the 5th international conference on Emerging networking experiments and technologies
Computer Networks: The International Journal of Computer and Telecommunications Networking
Computer Networks: The International Journal of Computer and Telecommunications Networking
Toward energy-efficient computing
Communications of the ACM
Power-Aware Management in Cloud Data Centers
CloudCom '09 Proceedings of the 1st International Conference on Cloud Computing
Energy-Aware Design of Service-Based Applications
ICSOC-ServiceWave '09 Proceedings of the 7th International Joint Conference on Service-Oriented Computing
Energy aware network operations
INFOCOM'09 Proceedings of the 28th IEEE international conference on Computer Communications Workshops
Communications of the ACM
Recipe for efficiency: principles of power-aware computing
Communications of the ACM
Toward Energy-Efficient Computing
Queue - Chip Design
Power routing: dynamic power provisioning in the data center
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
Joint optimization of idle and cooling power in data centers while maintaining response time
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
Energy proportionality for storage: impact and feasibility
ACM SIGOPS Operating Systems Review
ACM SIGOPS Operating Systems Review
On the energy (in)efficiency of Hadoop clusters
ACM SIGOPS Operating Systems Review
An energy case for hybrid datacenters
ACM SIGOPS Operating Systems Review
Joint admission control and resource allocation in virtualized servers
Journal of Parallel and Distributed Computing
Optimal sleep patterns for serving delay-tolerant jobs
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
Power and cost aware distributed load management
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
Greening the internet with content-centric networking
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
Energy-efficient cluster computing with FAWN: workloads and implications
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
Towards energy-aware scheduling in data centers using machine learning
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
Energy in ICT: trends and research directions
ANTS'09 Proceedings of the 3rd international conference on Advanced networks and telecommunication systems
MEC-IDC: joint load balancing and power control for distributed Internet Data Centers
Proceedings of the 1st ACM/IEEE International Conference on Cyber-Physical Systems
Robust and flexible power-proportional storage
Proceedings of the 1st ACM symposium on Cloud computing
Analyzing the energy efficiency of a database server
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
WattApp: an application aware power meter for shared data centers
Proceedings of the 7th international conference on Autonomic computing
Optimality, fairness, and robustness in speed scaling designs
Proceedings of the ACM SIGMETRICS international conference on Measurement and modeling of computer systems
On energy management, load balancing and replication
ACM SIGMOD Record
WiDGET: Wisconsin decoupled grid execution tiles
Proceedings of the 37th annual international symposium on Computer architecture
Web search using mobile cores: quantifying and mitigating the price of efficiency
Proceedings of the 37th annual international symposium on Computer architecture
Energy proportional datacenter networks
Proceedings of the 37th annual international symposium on Computer architecture
Enhancing energy efficiency of database applications using SSDs
Proceedings of the Third C* Conference on Computer Science and Software Engineering
SpinThrift: saving energy in viral workloads
COMSNETS'10 Proceedings of the 2nd international conference on COMmunication systems and NETworks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Routing for energy minimization in the speed scaling model
INFOCOM'10 Proceedings of the 29th conference on Information communications
RAPL: memory power estimation and capping
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Energy efficient proactive thermal management in memory subsystem
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
STM versus lock-based systems: an energy consumption perspective
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Energy-aware routing in data center network
Proceedings of the first ACM SIGCOMM workshop on Green networking
NapSAC: design and implementation of a power-proportional web cluster
Proceedings of the first ACM SIGCOMM workshop on Green networking
To compress or not to compress - compute vs. IO tradeoffs for mapreduce energy efficiency
Proceedings of the first ACM SIGCOMM workshop on Green networking
Reducing energy consumption in IPTV networks by selective pre-joining of channels
Proceedings of the first ACM SIGCOMM workshop on Green networking
Shipping to streaming: is this shift green?
Proceedings of the first ACM SIGCOMM workshop on Green networking
New caching techniques for web search engines
Proceedings of the 19th ACM International Symposium on High Performance Distributed Computing
SRCMap: energy proportional storage using dynamic consolidation
FAST'10 Proceedings of the 8th USENIX conference on File and storage technologies
FAWNdamentally power-efficient clusters
HotOS'09 Proceedings of the 12th conference on Hot topics in operating systems
Delivering energy proportionality with non energy-proportional systems: optimizing the ensemble
HotPower'08 Proceedings of the 2008 conference on Power aware computing and systems
A comparison of high-level full-system power models
HotPower'08 Proceedings of the 2008 conference on Power aware computing and systems
Hot data centers vs. cool peers
HotPower'08 Proceedings of the 2008 conference on Power aware computing and systems
ElasticTree: saving energy in data center networks
NSDI'10 Proceedings of the 7th USENIX conference on Networked systems design and implementation
Sleepless in seattle no longer
USENIXATC'10 Proceedings of the 2010 USENIX conference on USENIX annual technical conference
An evaluation of per-chip nonuniform frequency scaling on multicores
USENIXATC'10 Proceedings of the 2010 USENIX conference on USENIX annual technical conference
Towards energy proportional cloud for data processing frameworks
SustainIT'10 Proceedings of the First USENIX conference on Sustainable information technology
Performance Evaluation
Optimality analysis of energy-performance trade-off for server farm management
Performance Evaluation
Wimpy node clusters: what about non-wimpy workloads?
Proceedings of the Sixth International Workshop on Data Management on New Hardware
Decomposition results for an m/m/k with staggered setup
ACM SIGMETRICS Performance Evaluation Review
Data structures: time, I/Os, entropy, joules!
ESA'10 Proceedings of the 18th annual European conference on Algorithms: Part II
Power-Aware Consolidation of Scientific Workflows in Virtualized Environments
Proceedings of the 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis
Proceedings of the 8th International Workshop on Middleware for Grids, Clouds and e-Science
Modelling of staged routing for reduced carbon footprints of large server clusters
International Journal of Communication Networks and Distributed Systems
Energy management for MapReduce clusters
Proceedings of the VLDB Endowment
A cost comparison of datacenter network architectures
Proceedings of the 6th International COnference
Analyzing performance asymmetric multicore processors for latency sensitive datacenter applications
HotPower'10 Proceedings of the 2010 international conference on Power aware computing and systems
Energy conservation in multi-tenant networks through power virtualization
HotPower'10 Proceedings of the 2010 international conference on Power aware computing and systems
Green server design: beyond operational energy to sustainability
HotPower'10 Proceedings of the 2010 international conference on Power aware computing and systems
GreenHDFS: towards an energy-conserving, storage-efficient, hybrid Hadoop compute cluster
HotPower'10 Proceedings of the 2010 international conference on Power aware computing and systems
Modeling CPU energy consumption for energy efficient scheduling
Proceedings of the 1st Workshop on Green Computing
Apples-to-apples: a framework analysis for energy-efficiency in networks
ACM SIGMETRICS Performance Evaluation Review
The PowerNap Server Architecture
ACM Transactions on Computer Systems (TOCS)
NapSAC: design and implementation of a power-proportional web cluster
ACM SIGCOMM Computer Communication Review
Improving data-center efficiency for a smarter planet
IBM Journal of Research and Development
Communications of the ACM
Challenges and opportunities for efficient computing with FAWN
ACM SIGOPS Operating Systems Review
Sustainable ecosystems: enabled by supply and demand management
ICDCN'11 Proceedings of the 12th international conference on Distributed computing and networking
Blink: managing server clusters on intermittent power
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
Dynamic knobs for responsive power-aware computing
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
MemScale: active low-power modes for main memory
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
Energy proportionality for disk storage using replication
Proceedings of the 14th International Conference on Extending Database Technology
Energy-delay based provisioning for large datacenters: an energy-efficient and cost optimal approach
Proceedings of the 2nd ACM/SPEC International Conference on Performance engineering
Towards more effective utilization of computer systems
Proceedings of the 2nd ACM/SPEC International Conference on Performance engineering
Global cost diversity aware dispatch algorithm for heterogeneous data centers
Proceedings of the 2nd ACM/SPEC International Conference on Performance engineering
Server farms' power consumption minimized via best allocation of servers and ancillary equipments
AIKED'11 Proceedings of the 10th WSEAS international conference on Artificial intelligence, knowledge engineering and data bases
FAWN: a fast array of wimpy nodes
Communications of the ACM
Sierra: practical power-proportionality for data center storage
Proceedings of the sixth conference on Computer systems
Migration based page caching algorithm for a hybrid main memory of DRAM and PRAM
Proceedings of the 2011 ACM Symposium on Applied Computing
Sustainable predictive storage management: on-line grouping for energy and latency reduction
Proceedings of the 4th Annual International Conference on Systems and Storage
WattDB: an energy-proportional cluster of wimpy nodes
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
The case for power-agile computing
HotOS'13 Proceedings of the 13th USENIX conference on Hot topics in operating systems
QMD: exploiting flash for energy efficient disk arrays
Proceedings of the Seventh International Workshop on Data Management on New Hardware
A case for micro-cellstores: energy-efficient data management on recycled smartphones
Proceedings of the Seventh International Workshop on Data Management on New Hardware
Memory power management via dynamic voltage/frequency scaling
Proceedings of the 8th ACM international conference on Autonomic computing
Curbing energy cravings in networks: a cross-sectional view across the micro-macro boundary
NOCS '11 Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip
Power management of online data-intensive services
Proceedings of the 38th annual international symposium on Computer architecture
Proceedings of the 38th annual international symposium on Computer architecture
Evaluating the effectiveness of model-based power characterization
USENIXATC'11 Proceedings of the 2011 USENIX conference on USENIX annual technical conference
Slow down or sleep, that is the question
USENIXATC'11 Proceedings of the 2011 USENIX conference on USENIX annual technical conference
Mobile processors for energy-efficient web search
ACM Transactions on Computer Systems (TOCS)
Energy-price-driven query processing in multi-center web search engines
Proceedings of the 34th international ACM SIGIR conference on Research and development in Information Retrieval
Reactive power management for distributed systems
Proceedings of the 49th Annual Southeast Regional Conference
A flexible approach to efficient resource sharing in virtualized environments
Proceedings of the 8th ACM International Conference on Computing Frontiers
Does low-power design imply energy efficiency for data centers?
Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design
DevoFlow: scaling flow management for high-performance networks
Proceedings of the ACM SIGCOMM 2011 conference
Insomnia in the access: or how to curb access network related energy consumption
Proceedings of the ACM SIGCOMM 2011 conference
Efficient photonic coding: a considered revision
Proceedings of the 2nd ACM SIGCOMM workshop on Green networking
Towards continuous policy-driven demand response in data centers
Proceedings of the 2nd ACM SIGCOMM workshop on Green networking
FlexiBuffer: reducing leakage power in on-chip network routers
Proceedings of the 48th Design Automation Conference
Energy attack on server systems
WOOT'11 Proceedings of the 5th USENIX conference on Offensive technologies
Traffic-driven power saving in operational 3G cellular networks
MobiCom '11 Proceedings of the 17th annual international conference on Mobile computing and networking
Energy proportionality and performance in data parallel computing clusters
SSDBM'11 Proceedings of the 23rd international conference on Scientific and statistical database management
Self-economy in cloud data centers: statistical assignment and migration of virtual machines
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part I
A survey on energy-efficient data management
ACM SIGMOD Record
The case for sleep states in servers
HotPower '11 Proceedings of the 4th Workshop on Power-Aware Computing and Systems
Power-efficient networking for balanced system designs: early experiences with PCIe
HotPower '11 Proceedings of the 4th Workshop on Power-Aware Computing and Systems
SEEP: exploiting symbolic execution for energy-aware programming
HotPower '11 Proceedings of the 4th Workshop on Power-Aware Computing and Systems
Distributed computing for carbon footprint reduction by exploiting low-footprint energy availability
Future Generation Computer Systems
Balancing electricity bill and performance in server farms with setup costs
Future Generation Computer Systems
On the impact of the TCP acknowledgement frequency on energy efficient ethernet performance
NETWORKING'11 Proceedings of the IFIP TC 6th international conference on Networking
Breaking up is hard to do: security and functionality in a commodity hypervisor
SOSP '11 Proceedings of the Twenty-Third ACM Symposium on Operating Systems Principles
GreenSlot: scheduling energy consumption in green datacenters
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
Dynamic configuration of virtual machine for power-proportional resource provisioning
Green Computing Middleware on Proceedings of the 2nd International Workshop
SEEP: exploiting symbolic execution for energy-aware programming
ACM SIGOPS Operating Systems Review
Optimal task allocation on non-volatile memory based hybrid main memory
Proceedings of the 2011 ACM Symposium on Research in Applied Computation
Efficient page caching algorithm with prediction and migration for a hybrid main memory
ACM SIGAPP Applied Computing Review
Totally green: evaluating and designing servers for lifecycle environmental impact
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
DreamWeaver: architectural support for deep sleep
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
Automated simulation-based capacity planning for enterprise data fabrics
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques
Understanding the future of energy-performance trade-off via DVFS in HPC environments
Journal of Parallel and Distributed Computing
Bubble-Up: increasing utilization in modern warehouse scale computers via sensible co-locations
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
An analysis of power reduction in datacenters using heterogeneous chip multiprocessors
ACM SIGMETRICS Performance Evaluation Review
Routing for power minimization in the speed scaling model
IEEE/ACM Transactions on Networking (TON)
The search for energy-efficient building blocks for the data center
ISCA'10 Proceedings of the 2010 international conference on Computer Architecture
KnightShift: shifting the I/O burden in datacenters to management processor for energy efficiency
ISCA'10 Proceedings of the 2010 international conference on Computer Architecture
From the origins of performance evaluation to new green ICT performance engineering
PERFORM'10 Proceedings of the 2010 IFIP WG 6.3/7.3 international conference on Performance Evaluation of Computer and Communication Systems: milestones and future challenges
Towards efficient supercomputing: searching for the right efficiency metric
ICPE '12 Proceedings of the 3rd ACM/SPEC International Conference on Performance Engineering
Deferred maintenance of indexes and of materialized views
DNIS'11 Proceedings of the 7th international conference on Databases in Networked Information Systems
Energy efficient utilization of resources in cloud computing systems
The Journal of Supercomputing
ACM Transactions on Architecture and Code Optimization (TACO)
Power consumption in enterprise-scale backup storage systems
FAST'12 Proceedings of the 10th USENIX conference on File and Storage Technologies
Evaluating and modeling power consumption of multi-core processors
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
Modeling and analyzing power management policies in server farms using stochastic Petri nets
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
Energy measurement of web service
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
Improving energy efficiency for mobile platforms by exploiting low-power sleep states
Proceedings of the 9th conference on Computing Frontiers
Does lean imply green?: a study of the power performance implications of Java runtime bloat
Proceedings of the 12th ACM SIGMETRICS/PERFORMANCE joint international conference on Measurement and Modeling of Computer Systems
FREP: Energy proportionality for disk storage using replication
Journal of Parallel and Distributed Computing
Investigation of data locality and fairness in MapReduce
Proceedings of third international workshop on MapReduce and its Applications Date
Proceedings of the 26th ACM international conference on Supercomputing
Optimizing the energy consumption of large-scale applications
Proceedings of the 8th international ACM SIGSOFT conference on Quality of Software Architectures
Future Generation Computer Systems
SLA-based Optimization of Power and Migration Cost in Cloud Computing
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
ACM SIGOPS Operating Systems Review
Towards energy-proportional datacenter memory with mobile DRAM
Proceedings of the 39th Annual International Symposium on Computer Architecture
Proceedings of the ACM SIGCOMM 2012 conference on Applications, technologies, architectures, and protocols for computer communication
Systematic approach of using power save mode for cloud data processing services
International Journal of Ad Hoc and Ubiquitous Computing
Concurrency and Computation: Practice & Experience
Towards energy-efficient database cluster design
Proceedings of the VLDB Endowment
Computers & Mathematics with Applications
Towards an enhanced benchmark advocating energy-efficient systems
TPCTC'11 Proceedings of the Third TPC Technology conference on Topics in Performance Evaluation, Measurement and Characterization
Towards a net-zero data center
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Barely alive memory servers: Keeping data active in a low-power state
ACM Journal on Emerging Technologies in Computing Systems (JETC)
ACM Journal on Emerging Technologies in Computing Systems (JETC)
PowerTracer: tracing requests in multi-tier services to diagnose energy inefficiency
Proceedings of the 9th international conference on Autonomic computing
Computers and Industrial Engineering
Potentia est scientia: security and privacy implications of energy-proportional computing
HotSec'12 Proceedings of the 7th USENIX conference on Hot Topics in Security
ACM SIGCOMM Computer Communication Review - Special october issue SIGCOMM '12
AutoScale: Dynamic, Robust Capacity Management for Multi-Tier Data Centers
ACM Transactions on Computer Systems (TOCS)
Exploring multi-threaded Java application performance on multicore hardware
Proceedings of the ACM international conference on Object oriented programming systems languages and applications
Toward on-chip datacenters: a perspective on general trends and on-chip particulars
The Journal of Supercomputing
Power-aware speed scaling in processor sharing systems: Optimality and robustness
Performance Evaluation
Optimizing network performance and carbon offset through opportunistic reclustering
Concurrency and Computation: Practice & Experience
Using batteries to reduce the power costs of internet-scale distributed networks
Proceedings of the Third ACM Symposium on Cloud Computing
Flexible workload generation for HPC cluster efficiency benchmarking
Computer Science - Research and Development
Energy-aware job scheduler for high-performance computing
Computer Science - Research and Development
ICICA'12 Proceedings of the Third international conference on Information Computing and Applications
Communications of the ACM
Power-aware resource allocation for CPU-and memory-intense internet services
E2DC'12 Proceedings of the First international conference on Energy Efficient Data Centers
Electric grid balancing through lowcost workload migration
ACM SIGMETRICS Performance Evaluation Review
Aggressive Datacenter Power Provisioning with Batteries
ACM Transactions on Computer Systems (TOCS)
Virtualization: Issues, security threats, and solutions
ACM Computing Surveys (CSUR)
Ursa: Scalable Load and Power Management in Cloud Storage Systems
ACM Transactions on Storage (TOS)
Slow down and sleep for profit in online deadline scheduling
MedAlg'12 Proceedings of the First Mediterranean conference on Design and Analysis of Algorithms
Computer Networks: The International Journal of Computer and Telecommunications Networking
Application modes: a narrow interface for end-user power management in mobile devices
Proceedings of the 14th Workshop on Mobile Computing Systems and Applications
Themis: energy efficient management of workloads in virtualized data centers
Euro-Par'12 Proceedings of the 18th international conference on Parallel processing workshops
Power containers: an OS facility for fine-grained power and energy management on multicore servers
Proceedings of the eighteenth international conference on Architectural support for programming languages and operating systems
Paragon: QoS-aware scheduling for heterogeneous datacenters
Proceedings of the eighteenth international conference on Architectural support for programming languages and operating systems
Heterogeneity-Aware optimal power allocation in data center environments
ICPCA/SWS'12 Proceedings of the 2012 international conference on Pervasive Computing and the Networked World
A genetic algorithm for power-aware virtual machine allocation in private cloud
ICT-EurAsia'13 Proceedings of the 2013 international conference on Information and Communication Technology
KnightShift: Scaling the Energy Proportionality Wall through Server-Level Heterogeneity
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
CoScale: Coordinating CPU and Memory System DVFS in Server Systems
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
Decreasing power consumption with energy efficient data aware strategies
Future Generation Computer Systems
Adaptive parallelism for web search
Proceedings of the 8th ACM European Conference on Computer Systems
On understanding the energy consumption of ARM-based multicore servers
Proceedings of the ACM SIGMETRICS/international conference on Measurement and modeling of computer systems
Exact analysis of the M/M/k/setup class of Markov chains via recursive renewal reward
Proceedings of the ACM SIGMETRICS/international conference on Measurement and modeling of computer systems
GBench: benchmarking methodology for evaluating the energy efficiency of supercomputers
Computer Science - Research and Development
On the weak prefix-search problem
Theoretical Computer Science
Towards energy-proportional computing for enterprise-class server workloads
Proceedings of the 4th ACM/SPEC International Conference on Performance Engineering
Scalability testing of MS lync services: towards optimal provisioning of virtualised hardware
Proceedings of the 4th ACM/SPEC International Conference on Performance Engineering
Improving the scalability of a multi-core web server
Proceedings of the 4th ACM/SPEC International Conference on Performance Engineering
Towards a methodology driven by relationships of quality attributes for qos-based analysis
Proceedings of the 4th ACM/SPEC International Conference on Performance Engineering
A study of pricing for cloud resources
ACM SIGMETRICS Performance Evaluation Review
Power-reduction techniques for data-center storage systems
ACM Computing Surveys (CSUR)
Improving server utilization using fast virtual machine migration
IBM Journal of Research and Development
Expanding rural cellular networks with virtual coverage
nsdi'13 Proceedings of the 10th USENIX conference on Networked Systems Design and Implementation
Energy efficiency for MapReduce workloads: an in-depth study
ADC '12 Proceedings of the Twenty-Third Australasian Database Conference - Volume 124
Trustworthy distributed computing on social networks
Proceedings of the 8th ACM SIGSAC symposium on Information, computer and communications security
Energy-proportional query execution using a cluster of wimpy nodes
Proceedings of the Ninth International Workshop on Data Management on New Hardware
Resource efficient computing for warehouse-scale datacenters
Proceedings of the Conference on Design, Automation and Test in Europe
Catnap: energy proportional multiple network-on-chip
Proceedings of the 40th Annual International Symposium on Computer Architecture
Bubble-flux: precise online QoS management for increased utilization in warehouse scale computers
Proceedings of the 40th Annual International Symposium on Computer Architecture
Profitable scheduling on multiple speed-scalable processors
Proceedings of the twenty-fifth annual ACM symposium on Parallelism in algorithms and architectures
Safe software updates via multi-version execution
Proceedings of the 2013 International Conference on Software Engineering
Dynamic provisioning in next-generation data centers with on-site power production
Proceedings of the fourth international conference on Future energy systems
Analyzing the impact of elasticity on the profit of cloud computing providers
Future Generation Computer Systems
Improving cloud infrastructure utilization through overbooking
Proceedings of the 2013 ACM Cloud and Autonomic Computing Conference
Service level management for iterative pre-copy live migration
Proceedings of the 8th International Conference on Network and Service Management
The systems hacker's guide to the galaxy energy usage in a modern smartphone
Proceedings of the 4th Asia-Pacific Workshop on Systems
Integrating dynamic pricing of electricity into energy aware scheduling for HPC systems
SC '13 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Thermal Modeling of Hybrid Storage Clusters
Journal of Signal Processing Systems
Characterize energy impact of concurrent network-intensive applications on mobile platforms
Proceedings of the eighth ACM international workshop on Mobility in the evolving internet architecture
Boosting energy efficiency with mirrored data block replication policy and energy scheduler
ACM SIGOPS Operating Systems Review
State-of-the-art research study for green cloud computing
The Journal of Supercomputing
L24: Parallelism, performance, energy efficiency, and cost trade-offs in future sensor platforms
ACM Transactions on Embedded Computing Systems (TECS)
An empirical model for predicting cross-core performance interference on multicore processors
PACT '13 Proceedings of the 22nd international conference on Parallel architectures and compilation techniques
Greening data center networks with throughput-guaranteed power-aware routing
Computer Networks: The International Journal of Computer and Telecommunications Networking
Modeling sleep mode gains in energy-aware networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Energy and carbon-efficient placement of virtual machines in distributed cloud data centers
Euro-Par'13 Proceedings of the 19th international conference on Parallel Processing
A survey on techniques for improving the energy efficiency of large-scale distributed systems
ACM Computing Surveys (CSUR)
CoMETC: Coordinated management of energy/thermal/cooling in servers
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Copysets: reducing the frequency of data loss in cloud storage
USENIX ATC'13 Proceedings of the 2013 USENIX conference on Annual Technical Conference
Towards greener data centers with storage class memory
Future Generation Computer Systems
Market mechanisms for managing datacenters with heterogeneous microarchitectures
ACM Transactions on Computer Systems (TOCS)
Ubik: efficient cache sharing with strict qos for latency-critical workloads
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
The benefit of SMT in the multi-core era: flexibility towards degrees of thread-level parallelism
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
Underprovisioning backup power infrastructure for datacenters
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
Hardware support for accurate per-task energy metering in multicore systems
ACM Transactions on Architecture and Code Optimization (TACO)
Performance tradeoffs of energy-aware virtual machine consolidation
Cluster Computing
AMBER: adaptive energy management for on-chip hybrid video memories
Proceedings of the International Conference on Computer-Aided Design
Web search results caching service for structured P2P networks
Future Generation Computer Systems
Journal of Network and Computer Applications
A load control method for small data centers participating in demand response programs
Future Generation Computer Systems
Journal of Parallel and Distributed Computing
Switch sizing for energy-efficient datacenter networks
ACM SIGMETRICS Performance Evaluation Review
Proceedings of the 5th ACM/SPEC international conference on Performance engineering
PowerPerfCenter: a power and performance prediction tool for multi-tier applications
Proceedings of the 5th ACM/SPEC international conference on Performance engineering
Dynamic right-sizing for power-proportional data centers
IEEE/ACM Transactions on Networking (TON)
Review: A survey on architectures and energy efficiency in Data Center Networks
Computer Communications
Energy-efficient scheduling in multi-core servers
Computer Networks: The International Journal of Computer and Telecommunications Networking
Optimal arrival rate and service rate control of multi-server queues
Queueing Systems: Theory and Applications
UCC '13 Proceedings of the 2013 IEEE/ACM 6th International Conference on Utility and Cloud Computing
Energy and locality aware load balancing in cloud computing
Integrated Computer-Aided Engineering
MapReduce framework energy adaptation via temperature awareness
Cluster Computing
Runtime power reduction capability of the IBM POWER7+ chip
IBM Journal of Research and Development
Hi-index | 4.19 |
Energy-proportional designs would enable large energy savings in servers, potentially doubling their efficiency in real-life use. Achieving energy-proportionality will require significant improvements in the energy usage profile of every system component, particularly the memory and disk subsystems.