Piranha: a scalable architecture based on single-chip multiprocessing
Proceedings of the 27th annual international symposium on Computer architecture
ASPLOS IX Proceedings of the ninth international conference on Architectural support for programming languages and operating systems
Managing energy and server resources in hosting centers
SOSP '01 Proceedings of the eighteenth ACM symposium on Operating systems principles
Automatic data migration for reducing energy consumption in multi-bank memory systems
Proceedings of the 39th annual Design Automation Conference
Scheduler-based DRAM energy management
Proceedings of the 39th annual Design Automation Conference
Every joule is precious: the case for revisiting operating system design for energy efficiency
EW 9 Proceedings of the 9th workshop on ACM SIGOPS European workshop: beyond the PC: new challenges for the operating system
The case for power management in web servers
Power aware computing
Power-Sensitive Multithreaded Architecture
ICCD '00 Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
JETTY: Filtering Snoops for Reduced Energy Consumption in SMP Servers
HPCA '01 Proceedings of the 7th International Symposium on High-Performance Computer Architecture
Dynamic cluster reconfiguration for power and performance
Compilers and operating systems for low power
On the performance and use of dense servers
IBM Journal of Research and Development
Clockwork: A new movement in autonomic systems
IBM Systems Journal
Vertigo: automatic performance-setting for Linux
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
On evaluating request-distribution schemes for saving energy in server clusters
ISPASS '03 Proceedings of the 2003 IEEE International Symposium on Performance Analysis of Systems and Software
Currentcy: a unifying abstraction for expressing energy management policies
ATEC '03 Proceedings of the annual conference on USENIX Annual Technical Conference
Design and implementation of power-aware virtual memory
ATEC '03 Proceedings of the annual conference on USENIX Annual Technical Conference
Energy conservation policies for web servers
USITS'03 Proceedings of the 4th conference on USENIX Symposium on Internet Technologies and Systems - Volume 4
IBM memory expansion technology (MXT)
IBM Journal of Research and Development
Energy-efficient server clusters
PACS'02 Proceedings of the 2nd international conference on Power-aware computer systems
Dynamic tracking of page miss ratio curve for memory management
ASPLOS XI Proceedings of the 11th international conference on Architectural support for programming languages and operating systems
Performance directed energy management for main memory and disks
ASPLOS XI Proceedings of the 11th international conference on Architectural support for programming languages and operating systems
Exploring the Energy-Time Tradeoff in MPI Programs on a Power-Scalable Cluster
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Scheduling Processor Voltage and Frequency in Server and Cluster Systems
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 11 - Volume 12
Scheduling for heterogeneous processors in server systems
Proceedings of the 2nd conference on Computing frontiers
Managing server energy and operational costs in hosting centers
SIGMETRICS '05 Proceedings of the 2005 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Using multiple energy gears in MPI programs on a power-scalable cluster
Proceedings of the tenth ACM SIGPLAN symposium on Principles and practice of parallel programming
Improving energy efficiency by making DRAM less randomly accessed
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
Performance directed energy management for main memory and disks
ACM Transactions on Storage (TOS)
A performance-conserving approach for reducing peak power consumption in server systems
Proceedings of the 19th annual international conference on Supercomputing
Just In Time Dynamic Voltage Scaling: Exploiting Inter-Node Slack to Save Energy in MPI Programs
SC '05 Proceedings of the 2005 ACM/IEEE conference on Supercomputing
Minimizing execution time in MPI programs on an energy-constrained, power-scalable cluster
Proceedings of the eleventh ACM SIGPLAN symposium on Principles and practice of parallel programming
A page fault equation for modeling the effect of memory size
Performance Evaluation
MANTIS OS: an embedded multithreaded operating system for wireless micro sensor platforms
Mobile Networks and Applications
IEEE Transactions on Computers
Adaptive, transparent frequency and voltage scaling of communication phases in MPI programs
Proceedings of the 2006 ACM/IEEE conference on Supercomputing
Balancing power consumption in multiprocessor systems
Proceedings of the 1st ACM SIGOPS/EuroSys European Conference on Computer Systems 2006
Memory-miser: a performance-constrained runtime system for power-scalable clusters
Proceedings of the 4th international conference on Computing frontiers
Limiting the power consumption of main memory
Proceedings of the 34th annual international symposium on Computer architecture
Managing energy-performance tradeoffs for multithreaded applications on multiprocessor architectures
Proceedings of the 2007 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
PABC: Power-Aware Buffer Cache Management for Low Power Consumption
IEEE Transactions on Computers
Analyzing the Energy-Time Trade-Off in High-Performance Computing Applications
IEEE Transactions on Parallel and Distributed Systems
Cross-component energy management: Joint adaptation of processor and memory
ACM Transactions on Architecture and Code Optimization (TACO)
No "power" struggles: coordinated multi-level power management for the data center
Proceedings of the 13th international conference on Architectural support for programming languages and operating systems
A new approach to dynamic self-tuning of database buffers
ACM Transactions on Storage (TOS)
Power capping: a prelude to power shifting
Cluster Computing
RPM: a remote computer power management tool
International Journal of Computer Applications in Technology
Power-aware dynamic placement of HPC applications
Proceedings of the 22nd annual international conference on Supercomputing
System power management support in the IBM POWER6 microprocessor
IBM Journal of Research and Development
Energyscale for IBM POWER6 microprocessor-based systems
IBM Journal of Research and Development
Improving NAND Flash Based Disk Caches
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
Just-in-time dynamic voltage scaling: Exploiting inter-node slack to save energy in MPI programs
Journal of Parallel and Distributed Computing
Multi-mode energy management for multi-tier server clusters
Proceedings of the 17th international conference on Parallel architectures and compilation techniques
pMapper: power and migration cost aware application placement in virtualized systems
Proceedings of the 9th ACM/IFIP/USENIX International Conference on Middleware
PowerNap: eliminating server idle power
Proceedings of the 14th international conference on Architectural support for programming languages and operating systems
Evaluating high performance communication: a power perspective
Proceedings of the 23rd international conference on Supercomputing
vManage: loosely coupled platform and virtualization management in data centers
ICAC '09 Proceedings of the 6th international conference on Autonomic computing
Scalable high performance main memory system using phase-change memory technology
Proceedings of the 36th annual international symposium on Computer architecture
PPT: joint performance/power/thermal management of DRAM memory for multi-core systems
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
DEEP-SaM - Energy-Efficient Provisioning Policies for Computing Environments
GECON '09 Proceedings of the 6th International Workshop on Grid Economics and Business Models
Characterizing and mitigating the impact of process variations on phase change based memory systems
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Recipe for efficiency: principles of power-aware computing
Communications of the ACM
Towards energy-aware scheduling in data centers using machine learning
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
Rethinking DRAM design and organization for energy-constrained multi-cores
Proceedings of the 37th annual international symposium on Computer architecture
RAPL: memory power estimation and capping
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Energy efficient proactive thermal management in memory subsystem
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Proceedings of the 19th international conference on Parallel architectures and compilation techniques
GentleCool: cooling aware proactive workload scheduling in multi-machine systems
Proceedings of the Conference on Design, Automation and Test in Europe
A bio-inspired algorithm for energy optimization in a self-organizing data center
SOAR'09 Proceedings of the First international conference on Self-organizing architectures
Cool and save: cooling aware dynamic workload scheduling in multi-socket CPU systems
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Towards power efficient consolidation and distribution of virtual machines
Proceedings of the 48th Annual Southeast Regional Conference
The PowerNap Server Architecture
ACM Transactions on Computer Systems (TOCS)
Flikker: saving DRAM refresh-power through critical data partitioning
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
MemScale: active low-power modes for main memory
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
Power Aware Resource Allocation in Virtualized Environments through VM Behavior Identification
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
Multi-Objective Virtual Machine Placement in Virtualized Data Center Environments
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
Operating cost aware scheduling model for distributed servers based on global power pricing policies
COMPUTE '11 Proceedings of the Fourth Annual ACM Bangalore Conference
Memory systems in the many-core era: challenges, opportunities, and solution directions
Proceedings of the international symposium on Memory management
Page placement in hybrid memory systems
Proceedings of the international conference on Supercomputing
Coordinating processor and main memory for efficientserver power control
Proceedings of the international conference on Supercomputing
Low-energy automated scheduling of computing resources
Proceedings of the 1st ACM/IEEE workshop on Autonomic computing in economics
i-NVMM: a secure non-volatile main memory system with incremental encryption
Proceedings of the 38th annual international symposium on Computer architecture
Loosely coupled coordinated management in virtualized data centers
Cluster Computing
A read-write aware replacement policy for phase change memory
APPT'11 Proceedings of the 9th international conference on Advanced parallel processing technologies
Design space navigation for neighboring power-performance efficient microprocessor configurations
ARCS'05 Proceedings of the 18th international conference on Architecture of Computing Systems conference on Systems Aspects in Organic and Pervasive Computing
DreamWeaver: architectural support for deep sleep
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
Energy efficient configuration for qos in reliable parallel servers
EDCC'05 Proceedings of the 5th European conference on Dependable Computing
CPU packing for multiprocessor power reduction
PACS'03 Proceedings of the Third international conference on Power - Aware Computer Systems
Software–hardware cooperative power management for main memory
PACS'04 Proceedings of the 4th international conference on Power-Aware Computer Systems
Safe overprovisioning: using power limits to increase aggregate throughput
PACS'04 Proceedings of the 4th international conference on Power-Aware Computer Systems
Comparison of scheduling schemes for on-demand IaaS requests
Journal of Systems and Software
Evaluating and modeling power consumption of multi-core processors
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
The next frontier for communications networks: power management
Computer Communications
Leveraging Heterogeneity for Energy Minimization in Data Centers
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
MultiScale: memory system DVFS with multiple memory controllers
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
DRAM power-aware rank scheduling
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
Power-aware multi-core simulation for early design stage hardware/software co-optimization
Proceedings of the 21st international conference on Parallel architectures and compilation techniques
ViPZonE: OS-level memory variability-driven physical address zoning for energy savings
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
RAMZzz: rank-aware dram power management with dynamic migrations and demotions
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
International Journal of Business Information Systems
Delta-compressed caching for overcoming the write bandwidth limitation of hybrid main memory
ACM Transactions on Architecture and Code Optimization (TACO) - Special Issue on High-Performance Embedded Architectures and Compilers
A survey of architectural techniques for DRAM power management
International Journal of High Performance Systems Architecture
A framework for application guidance in virtual memory systems
Proceedings of the 9th ACM SIGPLAN/SIGOPS international conference on Virtual execution environments
CoScale: Coordinating CPU and Memory System DVFS in Server Systems
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
Phase-change memory: An architectural perspective
ACM Computing Surveys (CSUR)
Coordinate page allocation and thread group for improving main memory power efficiency
Proceedings of the Workshop on Power-Aware Computing and Systems
IAMEM: interaction-aware memory energy management
USENIX ATC'13 Proceedings of the 2013 USENIX conference on Annual Technical Conference
Linearly compressed pages: a low-complexity, low-latency main memory compression framework
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture
Correcting vibration-induced performance degradation in enterprise servers
ACM SIGMETRICS Performance Evaluation Review
Hi-index | 4.12 |
Servers--high-end, multiprocessor systems running commercial workloads--have typically included extensive cooling systems and resided in custom-built rooms for high-powerdelivery. Recently, as transistor density and demand for computing resources have rapidly increased, even these highend systems face energy-use constraints.Commercial-server energy management now focuses on conserving power in the memory and microprocessor subsystems. Because their workloads are typically structured as multiple application programs, system-wide approaches are more applicable to multiprocessor environments in commercial servers thantechniques that primarily apply to single-application environments, such as those based on compiler optimizations.