Managing energy and server resources in hosting centers
SOSP '01 Proceedings of the eighteenth ACM symposium on Operating systems principles
Every joule is precious: the case for revisiting operating system design for energy efficiency
EW 9 Proceedings of the 9th workshop on ACM SIGOPS European workshop: beyond the PC: new challenges for the operating system
ECOSystem: managing energy as a first class operating system resource
Proceedings of the 10th international conference on Architectural support for programming languages and operating systems
Conserving disk energy in network servers
ICS '03 Proceedings of the 17th annual international conference on Supercomputing
The case for power management in web servers
Power aware computing
Energy Management for Server Clusters
HOTOS '01 Proceedings of the Eighth Workshop on Hot Topics in Operating Systems
Dynamic Thermal Management for High-Performance Microprocessors
HPCA '01 Proceedings of the 7th International Symposium on High-Performance Computer Architecture
Statistical Service Assurances for Applications in Utility Grid Environments
MASCOTS '02 Proceedings of the 10th IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems
Power-aware QoS Management in Web Servers
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Managing server energy and operational costs in hosting centers
SIGMETRICS '05 Proceedings of the 2005 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Coordinated, distributed, formal energy management of chip multiprocessors
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
A performance-conserving approach for reducing peak power consumption in server systems
Proceedings of the 19th annual international conference on Supercomputing
Queue - Multiprocessors
Ensemble-level Power Management for Dense Blade Servers
Proceedings of the 33rd annual international symposium on Computer Architecture
Techniques for Multicore Thermal Management: Classification and New Exploration
Proceedings of the 33rd annual international symposium on Computer Architecture
Currentcy: a unifying abstraction for expressing energy management policies
ATEC '03 Proceedings of the annual conference on USENIX Annual Technical Conference
Power provisioning for a warehouse-sized computer
Proceedings of the 34th annual international symposium on Computer architecture
Limiting the power consumption of main memory
Proceedings of the 34th annual international symposium on Computer architecture
VirtualPower: coordinated power management in virtualized enterprise systems
Proceedings of twenty-first ACM SIGOPS symposium on Operating systems principles
Energy-efficient server clusters
PACS'02 Proceedings of the 2nd international conference on Power-aware computer systems
Safe overprovisioning: using power limits to increase aggregate throughput
PACS'04 Proceedings of the 4th international conference on Power-Aware Computer Systems
Utilization and SLO-Based control for dynamic sizing of resource partitions
DSOM'05 Proceedings of the 16th IFIP/IEEE Ambient Networks international conference on Distributed Systems: operations and Management
Fine-grained energy profiling for power-aware application design
ACM SIGMETRICS Performance Evaluation Review
Multi-mode energy management for multi-tier server clusters
Proceedings of the 17th international conference on Parallel architectures and compilation techniques
What does control theory bring to systems research?
ACM SIGOPS Operating Systems Review
Statistical profiling-based techniques for effective power provisioning in data centers
Proceedings of the 4th ACM European conference on Computer systems
vManage: loosely coupled platform and virtualization management in data centers
ICAC '09 Proceedings of the 6th international conference on Autonomic computing
Making cluster applications energy-aware
ACDC '09 Proceedings of the 1st workshop on Automated control for datacenters and clouds
GreenCloud: a new architecture for green data center
ICAC-INDST '09 Proceedings of the 6th international conference industry session on Autonomic computing and communications industry session
Optimal power allocation in server farms
Proceedings of the eleventh international joint conference on Measurement and modeling of computer systems
Temperature-constrained power control for chip multiprocessors with online model estimation
Proceedings of the 36th annual international symposium on Computer architecture
Optimal Power Management for Server Farm to Support Green Computing
CCGRID '09 Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid
vGreen: a system for energy efficient computing in virtualized environments
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
Sustainable data centers: enabled by supply and demand side management
Proceedings of the 46th Annual Design Automation Conference
Resource pool management: Reactive versus proactive or let's be friends
Computer Networks: The International Journal of Computer and Telecommunications Networking
Computer Networks: The International Journal of Computer and Telecommunications Networking
SHARP control: controlled shared cache management in chip multiprocessors
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Shares and utilities based power consolidation in virtualized server environments
IM'09 Proceedings of the 11th IFIP/IEEE international conference on Symposium on Integrated Network Management
Challenges in distributed energy adaptive computing
ACM SIGMETRICS Performance Evaluation Review
Energy aware network operations
INFOCOM'09 Proceedings of the 28th IEEE international conference on Computer Communications Workshops
Power routing: dynamic power provisioning in the data center
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
Joint optimization of idle and cooling power in data centers while maintaining response time
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
Semantic-less coordination of power management and application performance
ACM SIGOPS Operating Systems Review
Q-clouds: managing performance interference effects for QoS-aware clouds
Proceedings of the 5th European conference on Computer systems
Opportunities and challenges to unify workload, power, and cooling management in data centers
Proceedings of the Fifth International Workshop on Feedback Control Implementation and Design in Computing Systems and Networks
A cyber-physical systems approach to energy management in data centers
Proceedings of the 1st ACM/IEEE International Conference on Cyber-Physical Systems
MEC-IDC: joint load balancing and power control for distributed Internet Data Centers
Proceedings of the 1st ACM/IEEE International Conference on Cyber-Physical Systems
Analyzing the energy efficiency of a database server
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
The energy dashboard: improving the visibility of energy consumption at a campus-wide scale
Proceedings of the First ACM Workshop on Embedded Sensing Systems for Energy-Efficiency in Buildings
INFOCOM'10 Proceedings of the 29th conference on Information communications
Opportunities and challenges to unify workload, power, and cooling management in data centers
ACM SIGOPS Operating Systems Review
Delivering energy proportionality with non energy-proportional systems: optimizing the ensemble
HotPower'08 Proceedings of the 2008 conference on Power aware computing and systems
Reducing data center energy consumption via coordinated cooling and load management
HotPower'08 Proceedings of the 2008 conference on Power aware computing and systems
CoolIT: coordinating facility and it management for efficient datacenters
HotPower'08 Proceedings of the 2008 conference on Power aware computing and systems
vGreen: A System for Energy-Efficient Management of Virtual Machines
ACM Transactions on Design Automation of Electronic Systems (TODAES)
CPM in CMPs: Coordinated Power Management in Chip-Multiprocessors
Proceedings of the 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis
Green server design: beyond operational energy to sustainability
HotPower'10 Proceedings of the 2010 international conference on Power aware computing and systems
Automatic server to circuit mapping with the red pills
HotPower'10 Proceedings of the 2010 international conference on Power aware computing and systems
DENS: Data Center Energy-Efficient Network-Aware Scheduling
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
Multi-Objective Virtual Machine Placement in Virtualized Data Center Environments
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
Energy-delay based provisioning for large datacenters: an energy-efficient and cost optimal approach
Proceedings of the 2nd ACM/SPEC International Conference on Performance engineering
Simultaneous thermal and timeliness guarantees in distributed real-time embedded systems
Journal of Systems Architecture: the EUROMICRO Journal
A case for micro-cellstores: energy-efficient data management on recycled smartphones
Proceedings of the Seventh International Workshop on Data Management on New Hardware
Coordinating processor and main memory for efficientserver power control
Proceedings of the international conference on Supercomputing
PERFUME: power and performance guarantee with fuzzy MIMO control in virtualized servers
Proceedings of the Nineteenth International Workshop on Quality of Service
How much power oversubscription is safe and allowed in data centers
Proceedings of the 8th ACM international conference on Autonomic computing
Towards data center self-diagnosis using a mobile robot
Proceedings of the 8th ACM international conference on Autonomic computing
A robot as mobile sensor and agent in data center energy management
Proceedings of the 8th ACM international conference on Autonomic computing
Benefits and limitations of tapping into stored energy for datacenters
Proceedings of the 38th annual international symposium on Computer architecture
Scalable power control for many-core architectures running multi-threaded applications
Proceedings of the 38th annual international symposium on Computer architecture
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
Genetic and Evolutionary Computation Conference
Loosely coupled coordinated management in virtualized data centers
Cluster Computing
Energy-aware capacity scaling in virtualized environments with performance guarantees
Performance Evaluation
GREENCOM '11 Proceedings of the 2011 IEEE/ACM International Conference on Green Computing and Communications
Dynamic configuration of virtual machine for power-proportional resource provisioning
Green Computing Middleware on Proceedings of the 2nd International Workshop
Future Generation Computer Systems
Totally green: evaluating and designing servers for lifecycle environmental impact
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
Leveraging stored energy for handling power emergencies in aggressively provisioned datacenters
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
From the origins of performance evaluation to new green ICT performance engineering
PERFORM'10 Proceedings of the 2010 IFIP WG 6.3/7.3 international conference on Performance Evaluation of Computer and Communication Systems: milestones and future challenges
Renewable and cooling aware workload management for sustainable data centers
Proceedings of the 12th ACM SIGMETRICS/PERFORMANCE joint international conference on Measurement and Modeling of Computer Systems
SLA-based Optimization of Power and Migration Cost in Cloud Computing
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
GreenSLAs for the energy-efficient management of data centres
Proceedings of the 2nd International Conference on Energy-Efficient Computing and Networking
ACM SIGOPS Operating Systems Review
Managing distributed ups energy for effective power capping in data centers
Proceedings of the 39th Annual International Symposium on Computer Architecture
Icebergs in the clouds: the other risks of cloud computing
HotCloud'12 Proceedings of the 4th USENIX conference on Hot Topics in Cloud Ccomputing
Towards energy-efficient database cluster design
Proceedings of the VLDB Endowment
Enhancing data center sustainability through energy-adaptive computing
ACM Journal on Emerging Technologies in Computing Systems (JETC)
PGCapping: exploiting power gating for power capping and core lifetime balancing in CMPs
Proceedings of the 21st international conference on Parallel architectures and compilation techniques
Optimizing datacenter power with memory system levers for guaranteed quality-of-service
Proceedings of the 21st international conference on Parallel architectures and compilation techniques
Proceedings of the 9th international conference on Autonomic computing
Dynamic energy-aware capacity provisioning for cloud computing environments
Proceedings of the 9th international conference on Autonomic computing
Efficient resource management for virtual desktop cloud computing
The Journal of Supercomputing
Power-aware resource allocation for CPU-and memory-intense internet services
E2DC'12 Proceedings of the First international conference on Energy Efficient Data Centers
Aggressive Datacenter Power Provisioning with Batteries
ACM Transactions on Computer Systems (TOCS)
Power Aware Meta Scheduler for Adaptive VM Provisioning in IaaS Cloud
International Journal of Cloud Applications and Computing
DENS: data center energy-efficient network-aware scheduling
Cluster Computing
Heterogeneity-Aware optimal power allocation in data center environments
ICPCA/SWS'12 Proceedings of the 2012 international conference on Pervasive Computing and the Networked World
CoScale: Coordinating CPU and Memory System DVFS in Server Systems
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
Experimental analysis of task-based energy consumption in cloud computing systems
Proceedings of the 4th ACM/SPEC International Conference on Performance Engineering
Virtualizing power distribution in datacenters
Proceedings of the 40th Annual International Symposium on Computer Architecture
Hierarchical power management for asymmetric multi-core in dark silicon era
Proceedings of the 50th Annual Design Automation Conference
Techniques for energy-efficient power budgeting in data centers
Proceedings of the 50th Annual Design Automation Conference
Reducing the energy cost of computing through efficient co-scheduling of parallel workloads
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
A study towards applying thermal inertia for energy conservation in rooms
ACM Transactions on Sensor Networks (TOSN)
Towards greener data centers with storage class memory
Future Generation Computer Systems
Crank it up or dial it down: coordinated multiprocessor frequency and folding control
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture
Underprovisioning backup power infrastructure for datacenters
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
GDCSim: A simulator for green data center design and analysis
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue on simulation in complex service systems
Hardware support for accurate per-task energy metering in multicore systems
ACM Transactions on Architecture and Code Optimization (TACO)
A generalized software framework for accurate and efficient management of performance goals
Proceedings of the Eleventh ACM International Conference on Embedded Software
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Automated analysis of performance and energy consumption for cloud applications
Proceedings of the 5th ACM/SPEC international conference on Performance engineering
Analysis of virtual machine live-migration as a method for power-capping
The Journal of Supercomputing
Coordinating self-sizing and self-repair managers for multi-tier systems
Future Generation Computer Systems
Hi-index | 0.00 |
Power delivery, electricity consumption, and heat management are becoming key challenges in data center environments. Several past solutions have individually evaluated different techniques to address separate aspects of this problem, in hardware and software, and at local and global levels. Unfortunately, there has been no corresponding work on coordinating all these solutions. In the absence of such coordination, these solutions are likely to interfere with one another, in unpredictable (and potentially dangerous) ways. This paper seeks to address this problem. We make two key contributions. First, we propose and validate a power management solution that coordinates different individual approaches. Using simulations based on 180 server traces from nine different real-world enterprises, we demonstrate the correctness, stability, and efficiency advantages of our solution. Second, using our unified architecture as the base, we perform a detailed quantitative sensitivity analysis and draw conclusions about the impact of different architectures, implementations, workloads, and system design choices.