Hierarchical power management for asymmetric multi-core in dark silicon era

  • Authors:
  • Thannirmalai Somu Muthukaruppan;Mihai Pricopi;Vanchinathan Venkataramani;Tulika Mitra;Sanjay Vishin

  • Affiliations:
  • National University of Singapore;National University of Singapore;National University of Singapore;National University of Singapore;Cambridge Silicon Radio

  • Venue:
  • Proceedings of the 50th Annual Design Automation Conference
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

Asymmetric multi-core architectures integrating cores with diverse power-performance characteristics is emerging as a promising alternative in the dark silicon era where only a fraction of the cores on chip can be powered on due to thermal limits. We introduce a hierarchical power management framework for asymmetric multi-cores that builds on control theory and coordinates multiple controllers in a synergistic manner to achieve optimal power-performance efficiency while respecting the thermal design power budget. We integrate our framework within Linux and implement/evaluate it on real ARM big.LITTLE asymmetric multi-core platform.