ACM Transactions on Programming Languages and Systems (TOPLAS)
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
An Adaptive Strategy for Resource Allocation Modeled as Minority Game
SASO '07 Proceedings of the First International Conference on Self-Adaptive and Self-Organizing Systems
Algorithmic Game Theory
Chameleon: Application-Level Power Management
IEEE Transactions on Mobile Computing
Multi-optimization power management for chip multiprocessors
Proceedings of the 17th international conference on Parallel architectures and compilation techniques
Intel threading building blocks
Intel threading building blocks
Temperature-constrained power control for chip multiprocessors with online model estimation
Proceedings of the 36th annual international symposium on Computer architecture
Proceedings of the 46th Annual Design Automation Conference
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
TAPE: thermal-aware agent-based power economy for multi/many-core architectures
Proceedings of the 2009 International Conference on Computer-Aided Design
Moldable parallel job scheduling using job efficiency: an iterative approach
JSSPP'06 Proceedings of the 12th international conference on Job scheduling strategies for parallel processing
Proceedings of the Conference on Design, Automation and Test in Europe
Proceedings of the Conference on Design, Automation and Test in Europe
Kilo-NOC: a heterogeneous network-on-chip architecture for scalability and service guarantees
Proceedings of the 38th annual international symposium on Computer architecture
Scalable power control for many-core architectures running multi-threaded applications
Proceedings of the 38th annual international symposium on Computer architecture
A case for guarded power gating for multi-core processors
HPCA '11 Proceedings of the 2011 IEEE 17th International Symposium on High Performance Computer Architecture
ACM SIGARCH Computer Architecture News
Run-time adaptive energy-aware motion and disparity estimation in multiview video coding
Proceedings of the 48th Design Automation Conference
Low-power adaptive pipelined MPSoCs for multimedia: an H.264 video encoder case study
Proceedings of the 48th Design Automation Conference
DistRM: distributed resource management for on-chip many-core systems
CODES+ISSS '11 Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Reliable software for unreliable hardware: embedded code generation aiming at reliability
CODES+ISSS '11 Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Benchmarking modern multiprocessors
Benchmarking modern multiprocessors
Proceedings of the International Conference on Computer-Aided Design
System-level application-aware dynamic power management in adaptive pipelined MPSoCs for multimedia
Proceedings of the International Conference on Computer-Aided Design
Adaptive power management of on-chip video memory for multiview video coding
Proceedings of the 49th Annual Design Automation Conference
Self-adaptive hybrid dynamic power management for many-core systems
Proceedings of the Conference on Design, Automation and Test in Europe
Game-theoretic analysis of decentralized core allocation schemes on many-core systems
Proceedings of the Conference on Design, Automation and Test in Europe
Mapping on multi/many-core systems: survey of current and emerging trends
Proceedings of the 50th Annual Design Automation Conference
Reliable on-chip systems in the nano-era: lessons learnt and future trends
Proceedings of the 50th Annual Design Automation Conference
Hierarchical power management for asymmetric multi-core in dark silicon era
Proceedings of the 50th Annual Design Automation Conference
Scaling towards kilo-core processors with asymmetric high-radix topologies
HPCA '13 Proceedings of the 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA)
Formal verification of distributed dynamic thermal management
Proceedings of the International Conference on Computer-Aided Design
Formal verification of distributed dynamic thermal management
Proceedings of the International Conference on Computer-Aided Design
Hi-index | 0.00 |
Power management for Kilo-core processors have become an intricate problem due to the scalability issues and mixed-workloads of massively multi-threaded applications. This paper highlights the power related issues in Kilo-core processors and presents two emerging trends towards agent-based distributed and self-adaptive power management for Kilo-core processors. Agent-based power management allows applications to autonomously control the power states of their resources while operate efficiently as a whole to improve the overall system's energy efficiency. The first approach based on our concept of virtual power gating that allows applications to temporarily reserve their resources to locally optimize for power efficiency. The second approach is game-theoretic power management to achieve fair resource allocations while maximizing the energy efficiency. We present results for scalability and energy efficiency.