Procedures for Identifying Untestable and Redundant Transition Faults in Synchronous Sequential Circuits

  • Authors:
  • Gang Chen;Sudhakar M. Reddy;Irith Pomeranz

  • Affiliations:
  • -;-;-

  • Venue:
  • ICCD '03 Proceedings of the 21st International Conference on Computer Design
  • Year:
  • 2003

Quantified Score

Hi-index 0.01

Visualization

Abstract

Due to their simplicity transition faults are often used as targetsfor test generation to detect delay defects. However, one concerndocumented in the literature is that of over testing. One of thereasons for overtesting is that DFT approaches, such as scan,change sequentially untestable faults into testable faults. Oneapproach to reducing overtesting is to identify sequentiallyuntestable and redundant faults and not target them during testgeneration for the circuit with scan. Another application ofidentifying untestable transition faults is its use in logicoptimization. In this work efficient procedures to identifyuntestable and redundant transition faults in non-scansynchronoussequential circuits are investigated. Experimental results forISCAS-89 benchmark circuits are presented.