Buffering global interconnects in structured ASIC design

  • Authors:
  • Tianpei Zhang;Sachin S. Sapatnekar

  • Affiliations:
  • Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, MN 55455, USA;Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, MN 55455, USA

  • Venue:
  • Integration, the VLSI Journal
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Structured ASICs present an attractive alternative to reducing design costs and turnaround times in nanometer designs. As with conventional ASICs, such designs require global wires to be buffered. However, via-programmable designs must prefabricate and preplace buffers in the layout. This paper proposes a novel and accurate statistical estimation technique for distributing prefabricated buffers through a layout. It employs Rent's rule to estimate the buffer distribution required for the layout, so that an appropriate structured ASIC may be selected for the design. Experimental results show that the buffer distribution estimation is accurate and economic, and that a uniform buffer distribution can maintain a high degree of regularity in design and shows a good timing performance, comparable with nonuniform buffer distribution.