Structured ASIC, evolution or revolution?

  • Authors:
  • Kun-Cheng Wu;Yu-Wen Tsai

  • Affiliations:
  • Faraday Technology Corporation, Hsin-Chu, Taiwan;Faraday Technology Corporation, Hsin-Chu, Taiwan

  • Venue:
  • Proceedings of the 2004 international symposium on Physical design
  • Year:
  • 2004

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper describes the structured ASIC technology and impacts to the implementation flow. With an optimized and programmable structure, the structured ASIC technology indeed introduces a dramatically reduce ASIC cost and manufacturing turn-around time. While, the structured ASIC implementation flow is more complex than the conventional cell-based flow. There would be slightly impacts to structured ASIC implementation problems. Finally, the structured ASIC solutions provided by Faraday would be given. There are 3 structured ASIC solutions for customers' different applications. The three solutions are MPCA (Metal programmable Cell Array), MPIO (Metal Programmable I/O), and the structured ASIC platform. With the most competitive architecture, our customers can implement their ASIC at a lower cost with a faster turn-around-time.