Standard Cell Like Via-Configurable Logic Block for Structured ASICs

  • Authors:
  • Mei-Chen Li;Hui-Hsiang Tung;Chien-Chung Lai;Rung-Bin Lin

  • Affiliations:
  • -;-;-;-

  • Venue:
  • ISVLSI '08 Proceedings of the 2008 IEEE Computer Society Annual Symposium on VLSI
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

A structured ASIC has some arrays of pre-fabricated yet configurable logic blocks (CLBs) with/without a regular routing fabric. In this paper, we propose a standard cell like via-configurable logic block (VCLB). We design a 0.18um standard cell library based on our VCLB and establish a design flow using as many commercial tools as possible. We also propose a method to evaluate the viability of a structured ASIC fabric. Our structured ASIC fabric with programmable metals for routing achieves a delay of 2.7 times, an area of 3 times, and a power of 1.5 times that attained by the designs using a commercial cell library.