A centralized supply voltage and local body bias-based compensation approach to mitigate within-die process variation

  • Authors:
  • Amlan Ghosh;Rahul M. Rao;Richard B. Brown

  • Affiliations:
  • University of Utah, Salt Lake City, UT, USA;IBM T. J. Watson Center, Yorktown Heights, NY, USA;University of Utah, Salt Lake City, UT, USA

  • Venue:
  • Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

With the scaling of MOSFET dimensions and the enhancements introduced to boost its performance, variation in semiconductor manufacturing has increased. The manufactured designs are usually shifted from the intended operating point, degrading the parametric yield. In this paper, we partition the chip into multiple regions with localized sensors and introduce a centralized control system with region-specific bias control to mitigate the impact of within-die (WID) process variation. An algorithm for determining the minimum required global supply voltage across all the regions and optimal body-biasing voltages for the individual regions is illustrated. This system ensures the desired frequency of operation for the chip under optimal power conditions for each of the regions. Design considerations, simulation results and power-performance characteristics of this fine-grain body biasing compensation technique are presented based on simulations of the IBM 65 nm technology. This method achieves an average reduction of 7.2% in total power dissipated across process corners while bringing the critical path delay in all modules within the desired +/− 3% of nominal delay.