Low-power test in compression-based reconfigurable scan architectures

  • Authors:
  • Sobeeh Almukhaizim;Mohammad Gh. Mohammad;Mohammad Khajah

  • Affiliations:
  • Kuwait University, Kuwait, Kuwait;Kuwait University, Kuwait, Kuwait;Kuwait Institute for Scientific Research, Kuwait, Kuwait

  • Venue:
  • SBCCI '10 Proceedings of the 23rd symposium on Integrated circuits and system design
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

Scan-based testing of integrated circuits produces significant switching activity during shift and capture operations, dissipating excessive power levels and, possibly, resulting in an unexpected behavior of the design. The problem is further accentuated in compression-based scan; as don't care bits are exploited to compress test patterns, additional care bits are specified in the deliverable pattern, limiting the effectiveness of x-filling techniques. In this work, we propose a low-power test method for compression-based reconfigurable scan architectures. In addition to their key objective of minimizing Test Data Volume (TDV), we illustrate how the distribution of care bits in scan chains can be manipulated, using the different encoding configurations supported by the reconfigurable scan architecture, with the objective of reducing the number of transitions during test. Hence, peak and average power of shift and capture operations are effectively reduced. Experimental results, performed using one possible reconfigurable scan architecture as a case study, indicate that up to 50% power reduction is possible at the expense of an increase in TDV, while similar reduction levels are overhead-free in other reconfigurable scan architectures.