A novel si-tunnel FET based SRAM design for ultra low-power 0.3V VDD applications

  • Authors:
  • J. Singh;K. Ramakrishnan;S. Mookerjea;S. Datta;N. Vijaykrishnan;D. Pradhan

  • Affiliations:
  • University of Bristol, UK;The Pennsylvania State University, University Park;The Pennsylvania State University, University Park;The Pennsylvania State University, University Park;The Pennsylvania State University, University Park;University of Bristol, UK

  • Venue:
  • Proceedings of the 2010 Asia and South Pacific Design Automation Conference
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

Steep sub-threshold transistors are promising candidates to replace the traditional MOSFETs for sub-threshold leakage reduction. In this paper, we explore the use of Inter-Band Tunnel Field Effect Transistors (TFETs) in SRAMs at ultra low supply voltages. The uni-directional current conducting TFETs limit the viability of 6T SRAM cells. To overcome this limitation, 7T SRAM designs were proposed earlier at the cost of extra silicon area. In this paper, we propose a novel 6T SRAM design using Si-TFETs for reliable operation with low leakage at ultra low voltages. We also demonstrate that a functional 6T TFET SRAM design with comparable stability margins and faster performances at low voltages can be realized using proposed design when compared with the 7T TFET SRAM cell. We achieve a leakage reduction improvement of 700X and 1600X over traditional CMOS SRAM designs at VDD of 0.3V and 0.5V respectively which makes it suitable for use at ultra-low power applications.