Reconfigurable ECO cells for timing closure and IR drop minimization

  • Authors:
  • Hsien-Te Chen;Chieh-Chun Chang;TingTing Hwang

  • Affiliations:
  • Department of Computer Science, National Tsing Hua University, HsinChu, Taiwan;Skymedi Company, Hsinchu, Taiwan and Department of Computer Science, National Tsing Hua University, HsinChu, Taiwan;Department of Computer Science, National Tsing Hua University, HsinChu, Taiwan

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

Unused spare cells occur inevitably in traditional engineering change order (ECO) design flow. It results in inefficient area usage, more leakage, and more IR drop impacts. To tackle these problems, a reconfigurable cell is proposed, which serves the dual purposes of decoupling capacitance and spare cell in this paper. Before ECO is applied, these cells are preplaced as decoupling capacitors. When ECO is applied, these cells are configured as functional cells. To demonstrate the efficiency of our configurable cell, we propose an algorithm for timing closure and IR drop minimization. Compared with traditional ECO flow, our method shows 15% reduction in maximum IR drop and 9% reduction in leakage before applying ECO, and 7% reduction in maximum IR drop after applying ECO, with 10% area of spare cells. In addition, we show that there remain less unsolved timing-violation paths after applying our ECO timing optimization flow due to less IR drop and free selection of ECO gate type.