Evolution of test programs exploiting a FSM processor model

  • Authors:
  • Ernesto Sanchez;Giovanni Squillero;Alberto Tonda

  • Affiliations:
  • Dipartimento di Automatica e Informatica, Politecnico di Torino, Italy;Dipartimento di Automatica e Informatica, Politecnico di Torino, Italy;Dipartimento di Automatica e Informatica, Politecnico di Torino, Italy

  • Venue:
  • EvoApplications'11 Proceedings of the 2011 international conference on Applications of evolutionary computation - Volume Part II
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

Microprocessor testing is becoming a challenging task, due to the increasing complexity of modern architectures. Nowadays, most architectures are tackled with a combination of scan chains and Software-Based Self-Test (SBST) methodologies. Among SBST techniques, evolutionary feedback-based ones prove effective in microprocessor testing: their main disadvantage, however, is the considerable time required to generate suitable test programs. A novel evolutionary-based approach, able to appreciably reduce the generation time, is presented. The proposed method exploits a high-level representation of the architecture under test and a dynamically built Finite State Machine (FSM) model to assess fault coverage without resorting to time-expensive simulations on low-level models. Experimental results, performed on an OpenRISC processor, show that the resulting test obtains a nearly complete fault coverage against the targeted fault model.