A fast approach for static timing analysis covering all PVT corners

  • Authors:
  • Sari Onaissi;Feroze Taraporevala;Jinfeng Liu;Farid Najm

  • Affiliations:
  • University of Toronto, Toronto, ON, Canada;Synopsys Inc. Mountain View, CA;Synopsys Inc. Mountain View, CA;University of Toronto, Toronto, ON, Canada

  • Venue:
  • Proceedings of the 48th Design Automation Conference
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

The increasing sensitivity of circuit performance to process, temperature, and supply voltage (PVT) variations has led to an increase in the number of process corners that are required to verify circuit timing. Typically, designers attempt to reduce this computational load by choosing, based on experience, a subset of the available corners and running static timing analysis (STA) at only these corners. Although running a few corners, which are chosen beforehand, can lead to acceptable results in some cases, this is not always the case. Our results show that in the case of setup timing analysis, one can indeed bound circuit slacks across all corners by running a small number of corners. On the other hand, we show that this is not possible in the case of hold analysis. Instead, we present an alternative method for performing fast and accurate hold timing analysis which covers all corners. In this method a full timing run is performed for a small number of corners, and partial timing runs, which cover only the clock network, are performed for others. We then combine the results of the full and partial runs to find the worst-case hold slacks over all corners. Our results show that this method is accurate and can achieve much improved runtimes.