Parsimonious circuits for error-tolerant applications through probabilistic logic minimization

  • Authors:
  • Avinash Lingamneni;Christian Enz;Krishna Palem;Christian Piguet

  • Affiliations:
  • NTU-Rice Institute for Sustainable and Applied Infodynamics, Department of ECE, Rice University, Houston and Integrated and Wireless Systems Division, Centre Suisse d'Electronique et de Microtechn ...;Integrated and Wireless Systems Division, Centre Suisse d'Electronique et de Microtechnique SA, Neuchatel, Switzerland;NTU-Rice Institute for Sustainable and Applied Infodynamics, Department of ECE, Rice University, Houston;Integrated and Wireless Systems Division, Centre Suisse d'Electronique et de Microtechnique SA, Neuchatel, Switzerland

  • Venue:
  • PATMOS'11 Proceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

Contrary to the existing techniques to realize inexact circuits that relied mostly on scaling of supply voltage or pruning of "least-significant" components in conventional correct circuits to achieve cost (energy, delay and/or area) and accuracy tradeoffs, we propose a novel technique called Probabilistic Logic Minimization which relies on synthesizing an inexact circuit in the first place resulting in zero hardware overhead. Extensive simulations of the datapath elements designed using the proposed technique demonstrate that normalized gains as high as 2X- 9.5X in the Energy-Delay-Area product can be obtained when compared to the corresponding correct designs, with a relative error magnitude percentage as low as 0.001% upto 1%.