Synthesizing Parsimonious Inexact Circuits through Probabilistic Design Techniques

  • Authors:
  • Avinash Lingamneni;Christian Enz;Krishna Palem;Christian Piguet

  • Affiliations:
  • Rice University and CSEM SA;CSEM SA and EPFL;Rice University and Nanyang Technological University;CSEM SA

  • Venue:
  • ACM Transactions on Embedded Computing Systems (TECS) - Special Section on Probabilistic Embedded Computing
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

The domain of inexact circuit design, in which accuracy of the circuit can be exchanged for substantial cost (energy, delay, and/or area) savings, has been gathering increasing prominence of late owing to a growing desire for reducing energy consumption of the systems, particularly in the domain of embedded and (portable) multimedia applications. Most of the previous approaches to realizing inexact circuits relied on scaling of circuit parameters (such as supply voltage) taking advantage of an application’s error tolerance to achieve the cost and accuracy trade-offs, thus suffering from acute drawbacks of considerable implementation overheads that significantly reduced the gains. In this article, two novel design approaches called Probabilistic Pruning and Probabilistic Logic Minimization are proposed to realize inexact circuits with zero hardware overhead.Extensive simulations on various architectures of critical datapath elements demonstrate that each of the techniques can independently achieve normalized gains as large as 2x--9.5x in energy-delay-area product for relative error magnitude as low as 10 − 4%--8% compared to corresponding conventional correct circuits.