Reducing execution unit leakage power in embedded processors

  • Authors:
  • Houman Homayoun;Amirali Baniasadi

  • Affiliations:
  • Electrical and Computer Engineering Department, University of Victoria, Victoria, Canada;Electrical and Computer Engineering Department, University of Victoria, Victoria, Canada

  • Venue:
  • SAMOS'06 Proceedings of the 6th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation
  • Year:
  • 2006

Quantified Score

Hi-index 0.00

Visualization

Abstract

We introduce low-overhead power optimization techniques to reduce leakage power in embedded processors. Our techniques improve previous work by a) taking into account idle time distribution for different execution units, and b) using instruction decode and control dependencies to wakeup the gated (but needed) units as soon as possible. We take into account idle time distribution per execution unit to detect an idle time period as soon as possible. This in turn results in increasing our leakage power savings. In addition, we use information already available in the processor to predict when a gated execution unit will be needed again. This results in early and less costly reactivation of gated execution units. We evaluate our techniques for a representative subset of MiBench benchmarks and for a processor using a configuration similar to Intel's Xscale processor. We show that our techniques reduce leakage power considerably while maintaining performance.