Lifetime improvement through runtime wear-based task mapping

  • Authors:
  • Adam S. Hartman;Donald E. Thomas

  • Affiliations:
  • Carnegie Mellon University, Pittsburgh, Pennsylvania, USA;Carnegie Mellon University, Pittsburgh, Pennsylvania, USA

  • Venue:
  • Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

As transistors continue to become smaller, they become exponentially susceptible to permanent wearout faults. Without mitigation, these types of faults will render systems useless within unacceptably short time periods. Our work presents the design for a runtime task mapping subsystem which mitigates these faults using a wear-based heuristic. We compare our wear-based heuristic to power- and temperature-based heuristics used within the same system framework. Using a wide range of synthetic and real-world benchmarks, we show that our wear-based heuristic is able to improve total system lifetime by an average of 7.1% over temperature-based heuristics. Additionally, we show that our wear-based heuristic can be used to drastically improve the time to the first component failure (TTFF) of a system. TTFF is a metric that is of interest to designers who wish to avoid the design and verification difficulties of systems which are expected to recover after a component failure. Our wear-based heuristic improves TTFF by an average of 14.6% over temperature-based heuristics across all of our benchmarks. Our observations lead us to conclude that runtime, wear-based task mapping must be incorporated into systems for which lifetime is a primary design goal.