Low-power anti-aging zero skew clock gating

  • Authors:
  • Shih-Hsu Huang;Wen-Pin Tu;Chia-Ming Chang;Song-Bin Pan

  • Affiliations:
  • Chung Yuan Christian University, Taiwan, R.O.C;Chung Yuan Christian University, Taiwan, R.O.C;Chung Yuan Christian University, Taiwan, R.O.C;Chung Yuan Christian University, Taiwan, R.O.C

  • Venue:
  • ACM Transactions on Design Automation of Electronic Systems (TODAES)
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

In advanced CMOS technology, the NBTI (negative bias temperature instability) effect results in delay degradations of PMOS transistors. Further, because of clock gating, PMOS transistors in a clock tree often have different active probabilities, leading to different delay degradations. If the degradation difference is not properly controlled, this clock skew may cause the circuit fails to function at some point later in time. Intuitively, the degradation difference can be eliminated, if we increase the active probability of the low-probability clock gates to ensure the clock gates at the same level always having the same active probability. However, this intuitive method may suffer from large power consumption overhead. In this article, we point out, by carefully planning the transistor-level clock signal propagation path, we can have many clock gates whose active probabilities do not affect the degradation difference. Based on that observation, we propose a critical-PMOS-aware clock tree design methodology to eliminate the degradation difference with minimum power consumption overhead. Benchmark data consistently show our approach achieves very good results in terms of both the NBTI-induced clock skew (i.e., the degradation difference) and the power consumption overhead.