Analysis and optimization of NBTI induced clock skew in gated clock trees

  • Authors:
  • Ashutosh Chakraborty;Gokul Ganesan;Anand Rajaram;David Z. Pan

  • Affiliations:
  • University of Texas at Austin, TX;University of Texas at Austin, TX;University of Texas at Austin, TX;University of Texas at Austin, TX

  • Venue:
  • Proceedings of the Conference on Design, Automation and Test in Europe
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

NBTI (Negative Bias Temperature Instability) has emerged as the dominant PMOS device failure mechanism for sub-100nm VLSI designs. There is little research to quantify its impact on skew of clock trees. This paper demonstrates a mathematical framework to compute the impact of NBTI on gating-enabled clock tree considering their workload dependent temperature variation. Circuit design techniques are proposed to deal with NBTI induced clock skew by achieving balance in NBTI degradation of clock devices. Our technique achieves up-to 70% reduction in clock skew degradation with miniscule (