Performance analysis and optimization of high density tree-based 3d multilevel FPGA

  • Authors:
  • Vinod Pangracious;Zied Marrakchi;Emna Amouri;Habib Mehrez

  • Affiliations:
  • Laboratory d'Informatique de Paris 6, University of Pierre et Marie Curie, Paris, France;Flexras Technologies, Paris, France;Laboratory d'Informatique de Paris 6, University of Pierre et Marie Curie, Paris, France;Laboratory d'Informatique de Paris 6, University of Pierre et Marie Curie, Paris, France

  • Venue:
  • ARC'13 Proceedings of the 9th international conference on Reconfigurable Computing: architectures, tools, and applications
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

A Tree-based 3D Multilevel FPGA architecture that unifies two unidirectional programmable interconnection network is presented in this paper. In a Tree-based FPGA architecture, the interconnects are arranged in a multilevel network with the switch blocks placed at different tree levels using Butterfly-Fat-Tree network topology. Two dimensional layout development of a Tree-based multilevel interconnect is a major challenge for Tree-based FPGA. A 3D interconnect network technology leverage on Through Silicon Via (TSVs) to re-distribute the Tree interconnects, based on network delay and thermal considerations into multiple silicon layers is discussed. The impact of of Through Silicon Vias and performance improvement of 3D Tree-based FPGA are analyzed. We present an optimized physical design technology leverage on TSV, Thermal-TSV (TTSV), and thermal analysis. Compared to 3D Mesh-based FPGA, the 3D Tree-based FPGA design reduces the number of TSVs by 29% and leads to a performance improvement of 53% based on our place and route experiments.