Designing a 3-D FPGA: switch box architecture and thermal issues

  • Authors:
  • Aman Gayasen;Vijaykrishnan Narayanan;Mahmut Kandemir;Arifur Rahman

  • Affiliations:
  • R&D Department, Synopsys, Sunnyvale, CA;Departments of Computer Science and Engineering and Electrical Engineering, Pennsylvania State University, University Park, PA;Computer Science and Engineering Department, Pennsylvania State University, University Park, PA;Xilinx Research Laboratories, San Jose, CA

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Three-dimensional (3-D) integration is an attractive technology to reduce wirelengths in a field-programmable gate array (FPGA). However, it suffers from two problems: one, the inter-layer vias are limited in number, and second, the increased power density leads to high junction temperatures. In this paper, we tackle the first problem by designing switch boxes that maximize the use of the vias. Compared to the previously used subset switch box, our best switch box reduces the number of vias by about 49% and area-delay product by about 9%. For the second problem, we utilize the difference in power densities between CLBs and some of the hard blocks in modern FPGAs to distribute the power more uniformly across the FPGA. The peak temperature in a two-layer FPGA reduces by about 16°C after our change.