Monitoring Temperature in FPGA based SoCs

  • Authors:
  • Siva Velusamy;Wei Huang;John Lach;Mircea Stan;Kevin Skadron

  • Affiliations:
  • Departments of Computer Science and Electrical and Computer Engineering University of Virginia.;Departments of Computer Science and Electrical and Computer Engineering University of Virginia.;Departments of Computer Science and Electrical and Computer Engineering University of Virginia.;Departments of Computer Science and Electrical and Computer Engineering University of Virginia.;Departments of Computer Science and Electrical and Computer Engineering University of Virginia.

  • Venue:
  • ICCD '05 Proceedings of the 2005 International Conference on Computer Design
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

FPGA logic densities continue to increase at a tremendous rate. This has had the undesired consequence of increased power density, which manifests itself as higher ondie temperatures and local hotspots. Sophisticated packaging techniques have become essential to maintain the health of the chip. In addition to static techniques to reduce the temperature, dynamic thermal management techniques are essential. Such techniques rely on accurate on-chip temperature information. In this paper, we present the design of a system that monitors the temperatures at various locations on the FPGA. This system is composed of a controller interfacing to an array of temperature sensors that are implemented on the FPGA fabric. Such a system can be used to implement dynamic thermal management techniques. We cross validate the sensor readings with values obtained from HotSpot, a pre-RTL architectural level thermal modeling tool.