Open systemc simulator with support for power gating design

  • Authors:
  • George Sobral Silveira;Alisson V. Brito;Helder F. de A. Oliveira;Elmar U. K. Melcher

  • Affiliations:
  • Department of Electrical Engineering, Federal University of Campina Grande, Campina Grande, PB, Brazil;Department of Informatics, Federal University of Paraiba, Joao Pessoa, PB, Brazil;Department of System and Computer, Federal University of Campina Grande, Campina Grande, PB, Brazil;Department of System and Computer, Federal University of Campina Grande, Campina Grande, PB, Brazil

  • Venue:
  • International Journal of Reconfigurable Computing - Special issue on Selected Papers from the Symposium on Integrated Circuits and Systems Design (SBCCI 2011)
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Power gating is one of the most efficient power consumption reduction techniques. However, when applied in several different parts of a complex design, functional verification becomes a challenge. Lately, the verification process of this technique has been executed in a Register-Transfer Level (RTL) abstraction, based on the Common Power Format (CPF) and the Unified Power Format (UPF). The purpose of this paper is to present an OSCI SystemC simulator with support to the power gating design. This simulator is an alternative to assist the functional verification accomplishment of systems modeled in RTL. The possibility of controlling the retention and isolation of power gated functional block (PGFB) is presented in this work, turning the simulations more stable and accurate. Two case studies are presented to demonstrate the new features of that simulator.