Performance-driven soft-macro clustering and placement by preserving HDL design hierarchy

  • Authors:
  • Hsiao-Pin Su;Allen C.-H. Wu;Youn-Long Lin

  • Affiliations:
  • Department of Computer Science, Tsing Hua University, Hsinchu, Taiwan, 300, Republic of China;Department of Computer Science, Tsing Hua University, Hsinchu, Taiwan, 300, Republic of China;Department of Computer Science, Tsing Hua University, Hsinchu, Taiwan, 300, Republic of China

  • Venue:
  • ISPD '98 Proceedings of the 1998 international symposium on Physical design
  • Year:
  • 1998

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper, we present a performance-driven soft-macro clustering and placement method which preserves HDL design hierarchy to guide the soft-macro placement process. We also present a complete chip design methodology by integrating the proposed method and a set of commercial EDA tools. Experiments on three industrial designs ranging from 75K to 230K gates demonstrate that the proposed soft-macro clustering and placement method improves critical-path delay on an average of 24%.