Net partitions yield better module partitions
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
Near-optimal triangulation of a point set by simulated annealing
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
Efficient decision procedures for graph properties on context-free graph languages
Journal of the ACM (JACM)
An efficient methodology for symbolic compaction of analog IC's with multiple symmetry constraints
EURO-DAC '92 Proceedings of the conference on European design automation
On the intrinsic rent parameter and spectra-based partitioning methodologies
EURO-DAC '92 Proceedings of the conference on European design automation
An optimal channel pin assignment with multiple intervals for building block layout
EURO-DAC '92 Proceedings of the conference on European design automation
Optimal clustering for delay minimization
DAC '93 Proceedings of the 30th international Design Automation Conference
Geometric embeddings for faster and better multi-way netlist partitioning
DAC '93 Proceedings of the 30th international Design Automation Conference
Spectral K-way ratio-cut partitioning and clustering
DAC '93 Proceedings of the 30th international Design Automation Conference
A parallel bottom-up clustering algorithm with applications to circuit partitioning in VLSI design
DAC '93 Proceedings of the 30th international Design Automation Conference
Supporting sets of arbitrary connections on iWarp through communication context switches
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
A test problem generator for the Steiner problem in graphs
ACM Transactions on Mathematical Software (TOMS)
Definition and solution of the memory packing problem for field-programmable systems
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
Area minimization for hierarchical floorplans
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
DAC '94 Proceedings of the 31st annual Design Automation Conference
Multi-way partitioning via spacefilling curves and dynamic programming
DAC '94 Proceedings of the 31st annual Design Automation Conference
Performance driven global routing and wiring rule generation for high speed PCBs and MCMs
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
An animated library of combinatorial VLSI-routing algorithms
Proceedings of the eleventh annual symposium on Computational geometry
Re-encoding for low power state assignment of FSMs
ISLPED '95 Proceedings of the 1995 international symposium on Low power design
An optimal algorithm for area minimization of slicing floorplans
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
A unified approach to topology generation and area optimization of general floorplans
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Signal integrity optimization on the pad assignment for high-speed VLSI design
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Min-Cut Partitioning on Underlying Tree and Graph Structures
IEEE Transactions on Computers
Hyperneural Network-An Efficient Model for Test Generation in Digital Circuits
IEEE Transactions on Computers
Layout algorithm for VLSI design
ACM Computing Surveys (CSUR)
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Partitioning of VLSI circuits and systems
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Network partitioning into tree hierarchies
DAC '96 Proceedings of the 33rd annual Design Automation Conference
An optimal algorithm for river routing with crosstalk constraints
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
Module assignment for low power
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
TINA: analog placement using enumerative techniques capable of optimizing both area and net length
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
Automatic structuring and optimization of hierarchical designs
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
On floorplans of planar graphs
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
A Hypergraph Framework for Optimal Model-Based Decomposition ofDesign Problems
Computational Optimization and Applications
On exact solutions for the rectilinear Steiner tree problem
SCG '97 Proceedings of the thirteenth annual symposium on Computational geometry
Reuse of algorithms: still a challenge to object-oriented programming
Proceedings of the 12th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
A network flow approach for hierarchical tree partitioning
DAC '97 Proceedings of the 34th annual Design Automation Conference
Partitioning-based standard-cell global placement with an exact objective
Proceedings of the 1997 international symposium on Physical design
Proceedings of the 1997 international symposium on Physical design
A VLSI artwork legalization technique based on a new criterion of minimum layout perturbation
Proceedings of the 1997 international symposium on Physical design
Physical design: mathematical models and methods
Proceedings of the 1997 international symposium on Physical design
The future of logic synthesis and physical design in deep-submicron process geometries
Proceedings of the 1997 international symposium on Physical design
Performance-driven soft-macro clustering and placement by preserving HDL design hierarchy
ISPD '98 Proceedings of the 1998 international symposium on Physical design
Nostradamus: a floorplanner of uncertain design
ISPD '98 Proceedings of the 1998 international symposium on Physical design
Futures for partitioning in physical design (tutorial)
ISPD '98 Proceedings of the 1998 international symposium on Physical design
On multilevel circuit partitioning
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
The edge-based design rule model revisited
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Optimal river routing with crosstalk constraints
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Procedure cloning: a transformation for improved system-level functional partitioning
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Crosstalk constrained global route embedding
ISPD '99 Proceedings of the 1999 international symposium on Physical design
Compact grid layouts of multi-level networks
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
System-level routing of mixed-signal ASICs in WREN
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
HERO: hierarchical EMC-constrained routing
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
Accurate net models for placement improvement by network flow methods
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
Area minimization for general floorplans
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
Interactive Orthogonal Graph Drawing
IEEE Transactions on Computers
Spanning tree based state encoding for low power dissipation
DATE '99 Proceedings of the conference on Design, automation and test in Europe
Hypergraph-Partitioning-Based Decomposition for Parallel Sparse-Matrix Vector Multiplication
IEEE Transactions on Parallel and Distributed Systems
Manhattan channel routing with good theoretical and practical performance
SODA '90 Proceedings of the first annual ACM-SIAM symposium on Discrete algorithms
Exact switchbox routing with search space reduction
ISPD '00 Proceedings of the 2000 international symposium on Physical design
ISPD '00 Proceedings of the 2000 international symposium on Physical design
Multi-center congestion estimation and minimization during placement
ISPD '00 Proceedings of the 2000 international symposium on Physical design
Classical floorplanning harmful?
ISPD '00 Proceedings of the 2000 international symposium on Physical design
Reconstructing distances in physical maps of chromosomes with nonoverlapping probes
RECOMB '00 Proceedings of the fourth annual international conference on Computational molecular biology
A current driven routing and verification methodology for analog applications
Proceedings of the 37th Annual Design Automation Conference
Concurrent logic restructuring and placement for timing closure
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Analytical approach to custom datapath design
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Single step current driven routing of multiterminal signal nets for analog applications
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Architectural power optimization by bus splitting
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Incorporating Yield Enhancement into the Floorplanning Process
IEEE Transactions on Computers
Analytical minimization of half-perimeter wirelength
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Modeling and minimization of routing congestion
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
MMP: a novel placement algorithm for combined macro block and standard cell layout design
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
A software engineering perspective on algorithmics
ACM Computing Surveys (CSUR)
Design and implementation of move-based heuristics for VLSI hypergraph partitioning
Journal of Experimental Algorithmics (JEA)
Dijkstra's algorithm on-line: an empirical case study from public railroad transport
Journal of Experimental Algorithmics (JEA)
A hypergraph-partitioning approach for coarse-grain decomposition
Proceedings of the 2001 ACM/IEEE conference on Supercomputing
Information Processing Letters
A branch-and-price algorithm for the Steiner tree packing problem
Computers and Operations Research
Combinatorial Optimization by Dynamic Contraction
Journal of Heuristics
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Partition-based decision heuristics for image computation using SAT and BDDs
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
On the area of hypercube layouts
Information Processing Letters
A Fast and Robust Network Bisection Algorithm
IEEE Transactions on Computers
ViSta: a tool suite for the visualization of behavioral requirements
Journal of Systems and Software
Optimal height reduction problems for tree-structured hierarchies
Nordic Journal of Computing
Some geometric clustering problems
Nordic Journal of Computing
An efficient randomized algorithm for the closest pair problem on colored point sets
Nordic Journal of Computing
Domain Decomposition Coupled with Delaunay Mesh Generation
ICCS '02 Proceedings of the International Conference on Computational Science-Part I
Vertex-Disjoint Packing of Two Steiner Trees: Polyhedra and Branch-and-Cut
Proceedings of the 7th International IPCO Conference on Integer Programming and Combinatorial Optimization
A Fast Algorithm for Computing Minimum 3-Way and 4-Way Cuts
Proceedings of the 7th International IPCO Conference on Integer Programming and Combinatorial Optimization
Optimal Compaction of Orthogonal Grid Drawings
Proceedings of the 7th International IPCO Conference on Integer Programming and Combinatorial Optimization
Analysis of Clustering Algorithms for Web-Based Search
PAKM '02 Proceedings of the 4th International Conference on Practical Aspects of Knowledge Management
An Empirical Comparison of Decomposition Algorithms for Complex Finite Element Meshes
PPAM '01 Proceedings of the th International Conference on Parallel Processing and Applied Mathematics-Revised Papers
On the Complexity of Orthogonal Compaction
WADS '99 Proceedings of the 6th International Workshop on Algorithms and Data Structures
Population Studies for the Gate Matrix Layout Problem
IBERAMIA 2002 Proceedings of the 8th Ibero-American Conference on AI: Advances in Artificial Intelligence
Algorithms for Finding Noncrossing Steiner Forests in Plane Graphs
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
Approximating the Minimum k-way Cut in a Graph via Minimum 3-way Cuts
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
A Unified Framework for Approximating Multiway Partition Problems
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
Partitioning Trees of Supply and Demand
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
Rectangular Drawings of Plane Graphs Without Designated Corners
COCOON '00 Proceedings of the 6th Annual International Conference on Computing and Combinatorics
Design and Implementation of the Fiduccia-Mattheyses Heuristic for VLSI Netlist Partitioning
ALENEX '99 Selected papers from the International Workshop on Algorithm Engineering and Experimentation
Travel Planning with Self-Made Maps
ALENEX '01 Revised Papers from the Third International Workshop on Algorithm Engineering and Experimentation
Dijkstra's Algorithm On-Line: An Empirical Case Study from Public Railroad Transport
WAE '99 Proceedings of the 3rd International Workshop on Algorithm Engineering
Graph Drawing Algorithm Engineering with AGD
Revised Lectures on Software Visualization, International Seminar
Wire Routing and Satisfiability Planning
CL '00 Proceedings of the First International Conference on Computational Logic
On Improving Orthogonal Drawings: The 4M-Algorithm
GD '98 Proceedings of the 6th International Symposium on Graph Drawing
Refinement of Orthogonal Graph Drawings
GD '98 Proceedings of the 6th International Symposium on Graph Drawing
An Algorithmic Framework for Visualizing Statecharts
GD '00 Proceedings of the 8th International Symposium on Graph Drawing
An Experimental Comparison of Orthogonal Compaction Algorithms (Extended Abstract)
GD '00 Proceedings of the 8th International Symposium on Graph Drawing
Extended Rectangular Drawings of Plane Graphs with Designated Corners
GD '02 Revised Papers from the 10th International Symposium on Graph Drawing
Rectangular Drawings of Planar Graphs
GD '02 Revised Papers from the 10th International Symposium on Graph Drawing
On the Nature of Structure and Its Identification
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
Box-Rectangular Drawings of Plane Graphs
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
Bend-Minimum Orthogonal Drawings of Plane 3-Graphs
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
A New Floorplanning Method for FPGA Architectural Research
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
A New Placement Method for Direct Mapping into LUT-Based FPGAs
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
Efficient Mapping of Pre-synthesized IP-Cores onto Dynamically Reconfigurable Array Architectures
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
On the Parameterized Complexity of Layered Graph Drawing
ESA '01 Proceedings of the 9th Annual European Symposium on Algorithms
FCT '01 Proceedings of the 13th International Symposium on Fundamentals of Computation Theory
On the approximability of the Steiner tree problem
Theoretical Computer Science - Mathematical foundations of computer science
Real-time scheduling in video systems
Engineering of distributed control systems
Two-dimensional packing algorithms for layout of disconnected graphs
Information Sciences—Informatics and Computer Science: An International Journal
Graph multidimensional scaling with self-organizing maps
Information Sciences—Informatics and Computer Science: An International Journal
A topology-shape-metrics approach for the automatic layout of UML class diagrams
Proceedings of the 2003 ACM symposium on Software visualization
Area-Universal Circuits with Constant Slowdown
ARVLSI '99 Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI
Using symbolic techniques to find the maximum clique in very large sparse graphs
EDTC '95 Proceedings of the 1995 European conference on Design and Test
EMC-driven midway routing on PCBs
EDTC '95 Proceedings of the 1995 European conference on Design and Test
Modifying Min-Cut for Hardware and Software Functional Partitioning
CODES '97 Proceedings of the 5th International Workshop on Hardware/Software Co-Design
Edge-Disjoint Routing in Plane Switch Graphs in Linear Time
FOCS '99 Proceedings of the 40th Annual Symposium on Foundations of Computer Science
Geometric bipartitioning problem and its applications to VLSI
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Routing using implicit connection graphs [VLSI design
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
A New Partitioning Strategy Based on Supermodular Functions
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Electromigration Avoidance in Analog Circuits: Two Methodologies for Current-Driven Routing
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
An Efficient Practical Heuristic For Good Ratio-Cut Partitioning
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Constructive Floorplanning with a Yield Objective
ISQED '01 Proceedings of the 2nd International Symposium on Quality Electronic Design
Quality of EDA CAD Tools: Definitions, Metrics and Directions
ISQED '00 Proceedings of the 1st International Symposium on Quality of Electronic Design
Research report: improving browsing in information by the automatic display layout
INFOVIS '95 Proceedings of the 1995 IEEE Symposium on Information Visualization
Effective Graph Visualization Via Node Grouping
INFOVIS '01 Proceedings of the IEEE Symposium on Information Visualization 2001 (INFOVIS'01)
Improving graph partitions using submodular functions
Discrete Applied Mathematics - Submodularity
Circuit partitioning into small sets: a tool to support testing with further applications
EURO-DAC '91 Proceedings of the conference on European design automation
A cost-oriented approach for infrastructural design
Proceedings of the 2004 ACM symposium on Applied computing
Rectangular drawings of planar graphs
Journal of Algorithms
GRASP for linear integer programming
Metaheuristics
A multiple-population evolutionary approach to gate matrix layout
International Journal of Systems Science
On legalization of row-based placements
Proceedings of the 14th ACM Great Lakes symposium on VLSI
Orthogonal hypergraph routing for improved visibility
Proceedings of the 14th ACM Great Lakes symposium on VLSI
Hypergraph Models and Algorithms for Data-Pattern-Based Clustering
Data Mining and Knowledge Discovery
Generating node coordinates for shortest-path computations in transportation networks
Journal of Experimental Algorithmics (JEA)
Edge-disjoint routing in plane switch graphs in linear time
Journal of the ACM (JACM)
Synthesis of Heterogeneous Distributed Architectures for Memory-Intensive Applications
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Fast optimal load balancing algorithms for 1D partitioning
Journal of Parallel and Distributed Computing
On generalized greedy splitting algorithms for multiway partition problems
Discrete Applied Mathematics
Automatic layout of UML class diagrams in orthogonal style
Information Visualization - Special issue: Software visualization
Iterative-improvement-based declustering heuristics for multi-disk databases
Information Systems
Complexity of pairwise shortest path routing in the grid
Theoretical Computer Science
Data structures for maintaining set partitions
Random Structures & Algorithms
A spectral heuristic for bisecting random graphs
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
An Approximation Algorithm for the Disjoint Paths Problem in Even-Degree Planar Graphs
FOCS '05 Proceedings of the 46th Annual IEEE Symposium on Foundations of Computer Science
Efficient Rectilinear Steiner Tree Construction with Rectilinear Blockages
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Simultaneous design and placement of multiplexed chemical processing systems on microchips
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
A provably good algorithm for high performance bus routing
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
The number of guillotine partitions in d dimensions
Information Processing Letters
An annotated bibliography of combinatorial optimization problems with fixed cardinality constraints
Discrete Applied Mathematics - Special issue: 2nd cologne/twente workshop on graphs and combinatorial optimization (CTW 2003)
IEEE Transactions on Parallel and Distributed Systems
Parallel image restoration using surrogate constraint methods
Journal of Parallel and Distributed Computing
Heuristics for scheduling file-sharing tasks on heterogeneous systems with distributed repositories
Journal of Parallel and Distributed Computing
A note on data structures for maintaining bipartitions
Journal of Discrete Algorithms
New theoretical results on quadratic placement
Integration, the VLSI Journal
Integer Linear Programming Models for Global Routing
INFORMS Journal on Computing
Two-level microprocessor-accelerator partitioning
Proceedings of the conference on Design, automation and test in Europe
Multi-level direct K-way hypergraph partitioning with multiple constraints and fixed vertices
Journal of Parallel and Distributed Computing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
On routing in VLSI design and communication networks
Discrete Applied Mathematics
A novel approach for EMI design of power electronics
Proceedings of the conference on Design, automation and test in Europe
Area-time tradeoffs for universal VLSI circuits
Theoretical Computer Science
Octagonal drawings of plane graphs with prescribed face areas
Computational Geometry: Theory and Applications
Drawing slicing graphs with face areas
Theoretical Computer Science
Obstacle-avoiding rectilinear Steiner tree construction
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
An adaptive stabilizing algorithm for finding all disjoint paths in anonymous mesh networks
Computer Communications
Polychromatic 4-coloring of guillotine subdivisions
Information Processing Letters
A repartitioning hypergraph model for dynamic load balancing
Journal of Parallel and Distributed Computing
An adaptive scheme for distributed dynamic security assessment of large scale power systems
WSEAS Transactions on Circuits and Systems
Improved knowledge acquisition for high-performance heuristic search
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
Hypergraph Cuts & Unsupervised Representation for Image Segmentation
Fundamenta Informaticae
An annotated bibliography of combinatorial optimization problems with fixed cardinality constraints
Discrete Applied Mathematics - Special issue: 2nd cologne/twente workshop on graphs and combinatorial optimization (CTW 2003)
The number of guillotine partitions in d dimensions
Information Processing Letters
Implementing and clustering modules with complex delays
Integration, the VLSI Journal
Interactive circuit diagram visualization
CGIM '08 Proceedings of the Tenth IASTED International Conference on Computer Graphics and Imaging
A nondifferentiable optimization approach to ratio-cut partitioning
WEA'03 Proceedings of the 2nd international conference on Experimental and efficient algorithms
Easy problems for grid-structured graphs
FAW'07 Proceedings of the 1st annual international conference on Frontiers in algorithmics
Upward drawings of trees on the minimum number of layers
WALCOM'08 Proceedings of the 2nd international conference on Algorithms and computation
IPCO'08 Proceedings of the 13th international conference on Integer programming and combinatorial optimization
Pin assignment using stochastic local search constraint programming
CP'09 Proceedings of the 15th international conference on Principles and practice of constraint programming
Computer-aided design for microfluidic chips based on multilayer soft lithography
ICCD'09 Proceedings of the 2009 IEEE international conference on Computer design
The continuous hopfield networks (CHN) for the placement of the electronic circuits problem
WSEAS Transactions on Computers
Algorithms and theory of computation handbook
An extensible global address space framework with decoupled task and data abstractions
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Scheduling of tasks with batch-shared I/O on heterogeneous systems
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Algorithm engineering: bridging the gap between algorithm theory and practice
Algorithm engineering: bridging the gap between algorithm theory and practice
On Two-Dimensional Sparse Matrix Partitioning: Models, Methods, and a Recipe
SIAM Journal on Scientific Computing
Efficient congestion mitigation using congestion-aware steiner trees and network coding topologies
VLSI Design - Special issue on CAD for Gigascale SoC Design and Verification Solutions
Integrated data placement and task assignment for scientific workflows in clouds
Proceedings of the fourth international workshop on Data-intensive distributed computing
Hypergraph partitioning for the parallel computation of continuous Petri nets
PaCT'11 Proceedings of the 11th international conference on Parallel computing technologies
Morphing planar graphs while preserving edge directions
GD'05 Proceedings of the 13th international conference on Graph Drawing
Proper and planar drawings of graphs on three layers
GD'05 Proceedings of the 13th international conference on Graph Drawing
On routing in VLSI design and communication networks
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
Proxy structured multisignature scheme from bilinear pairings
ISPA'04 Proceedings of the Second international conference on Parallel and Distributed Processing and Applications
Boundary labeling: models and efficient algorithms for rectangular maps
GD'04 Proceedings of the 12th international conference on Graph Drawing
Hamiltonian-with-handles graphs and thek-spine drawability problem
GD'04 Proceedings of the 12th international conference on Graph Drawing
Two-Layer planarization parameterized by feedback edge set
TAMC'10 Proceedings of the 7th annual conference on Theory and Applications of Models of Computation
Inner rectangular drawings of plane graphs
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
A fuzzy genetic algorithm for automatic orthogonal graph drawing
Applied Soft Computing
Replicated partitioning for undirected hypergraphs
Journal of Parallel and Distributed Computing
Octagonal drawings of plane graphs with prescribed face areas
WG'04 Proceedings of the 30th international conference on Graph-Theoretic Concepts in Computer Science
An integrated partitioning and scheduling based branch decoupling
ACSAC'05 Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture
A multi-model algorithm for the cost-oriented design of Internet-based systems
Information Sciences: an International Journal
On approximating the maximum simple sharing problem
ISAAC'06 Proceedings of the 17th international conference on Algorithms and Computation
GD'09 Proceedings of the 17th international conference on Graph Drawing
Overloaded orthogonal drawings
GD'11 Proceedings of the 19th international conference on Graph Drawing
On partitioning problems with complex objectives
Euro-Par'11 Proceedings of the 2011 international conference on Parallel Processing
Geometric quadrisection in linear time, with application to VLSI placement
Discrete Optimization
Monge matrices make maximization manageable
Operations Research Letters
Partitioning Hypergraphs in Scientific Computing Applications through Vertex Separators on Graphs
SIAM Journal on Scientific Computing
Optimal binary representation of mosaic floorplans and baxter permutations
FAW-AAIM'12 Proceedings of the 6th international Frontiers in Algorithmics, and Proceedings of the 8th international conference on Algorithmic Aspects in Information and Management
Drawing planar graphs on points inside a polygon
MFCS'12 Proceedings of the 37th international conference on Mathematical Foundations of Computer Science
Parallel computation of continuous Petri nets based on hypergraph partitioning
The Journal of Supercomputing
Approximating the maximum sharing problem
WADS'07 Proceedings of the 10th international conference on Algorithms and Data Structures
Bottleneck non-crossing matching in the plane
ESA'12 Proceedings of the 20th Annual European conference on Algorithms
Fast Recommendation on Bibliographic Networks
ASONAM '12 Proceedings of the 2012 International Conference on Advances in Social Networks Analysis and Mining (ASONAM 2012)
Two-Layer Planarization parameterized by feedback edge set
Theoretical Computer Science
Hypergraph partitioning for the parallel computing of fuzzy differential equations
Fuzzy Sets and Systems
Bottleneck non-crossing matching in the plane
Computational Geometry: Theory and Applications
Cluster based dynamic area-array I/O planning for flip chip technology
Microelectronic Engineering
Hi-index | 0.04 |