Principles of database buffer management
ACM Transactions on Database Systems (TODS)
The design of the UNIX operating system
The design of the UNIX operating system
Data cache management using frequency-based replacement
SIGMETRICS '90 Proceedings of the 1990 ACM SIGMETRICS conference on Measurement and modeling of computer systems
Measurements of a distributed file system
SOSP '91 Proceedings of the thirteenth ACM symposium on Operating systems principles
The LRU-K page replacement algorithm for database disk buffering
SIGMOD '93 Proceedings of the 1993 ACM SIGMOD international conference on Management of data
An inter-reference gap model for temporal locality in program behavior
Proceedings of the 1995 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
Adaptive page replacement based on memory reference behavior
SIGMETRICS '97 Proceedings of the 1997 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
EELRU: simple and effective adaptive page replacement
SIGMETRICS '99 Proceedings of the 1999 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Performance Analysis of Cache Memories
Journal of the ACM (JACM)
Program Behavior: Models and Measurements
Program Behavior: Models and Measurements
Operating Systems Theory
Flexible and Adaptable Buffer Management Techniques for Database Management Systems
IEEE Transactions on Computers
IEEE Transactions on Computers
2Q: A Low Overhead High Performance Buffer Management Replacement Algorithm
VLDB '94 Proceedings of the 20th International Conference on Very Large Data Bases
An implementation study of a detection-based adaptive block replacement scheme
ATEC '99 Proceedings of the annual conference on USENIX Annual Technical Conference
IEEE Transactions on Computers
GD-GhOST: a goal-oriented self-tuning caching algorithm
Proceedings of the 2004 ACM symposium on Applied computing
Reducing disk I/O times using anticipatory movements of the disk head
Journal of Systems Architecture: the EUROMICRO Journal
Proceedings of the 18th annual international conference on Supercomputing
General adaptive replacement policies
Proceedings of the 4th international symposium on Memory management
ACM SIGOPS Operating Systems Review
The performance impact of kernel prefetching on buffer cache replacement algorithms
SIGMETRICS '05 Proceedings of the 2005 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Advanced non-distributed operating systems course
ACM SIGCSE Bulletin
ARC: A Self-Tuning, Low Overhead Replacement Cache
FAST '03 Proceedings of the 2nd USENIX Conference on File and Storage Technologies
CAR: Clock with Adaptive Replacement
FAST '04 Proceedings of the 3rd USENIX Conference on File and Storage Technologies
Building adaptable cache services
MGC '05 Proceedings of the 3rd international workshop on Middleware for grid computing
CFLRU: a replacement algorithm for flash memory
CASES '06 Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems
ACM Transactions on Computer Systems (TOCS)
Program-counter-based pattern classification in buffer caching
OSDI'04 Proceedings of the 6th conference on Symposium on Opearting Systems Design & Implementation - Volume 6
The Performance Impact of Kernel Prefetching on Buffer Cache Replacement Algorithms
IEEE Transactions on Computers
Data driven workflow planning in cluster management systems
Proceedings of the 16th international symposium on High performance distributed computing
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
VLDB '07 Proceedings of the 33rd international conference on Very large data bases
RACE: A Robust Adaptive Caching Strategy for Buffer Cache
IEEE Transactions on Computers
On multi-level exclusive caching: offline optimality and why promotions are better than demotions
FAST'08 Proceedings of the 6th USENIX Conference on File and Storage Technologies
SP-NUCA: a cost effective dynamic non-uniform cache architecture
ACM SIGARCH Computer Architecture News
On adaptive replacement based on LRU with working area restriction algorithm
ACM SIGOPS Operating Systems Review
Towards practical page coloring-based multicore cache management
Proceedings of the 4th ACM European conference on Computer systems
Less reused filter: improving l2 cache performance via filtering less reused lines
Proceedings of the 23rd international conference on Supercomputing
Hierarchical Caches for Grid Workflows
CCGRID '09 Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid
Disk schedulers for solid state drivers
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
Reusability-aware cache memory sharing for chip multiprocessors with private L2 caches
Journal of Systems Architecture: the EUROMICRO Journal
Multi-buffer manager: Energy-efficient buffer manager for databases on flash memory
ACM Transactions on Embedded Computing Systems (TECS)
Considering the frequency dimension into on demand adaptive algorithms
ACM SIGOPS Operating Systems Review
Efficient index caching for data dissemination in mobile computing environments
Journal of Systems and Software
A refreshing perspective of search engine caching
Proceedings of the 19th international conference on World wide web
LIRS-WSR: integration of LIRS and writes sequence reordering for flash memory
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part I
SOPA: Selecting the optimal caching policy adaptively
ACM Transactions on Storage (TOS)
High performance cache replacement using re-reference interval prediction (RRIP)
Proceedings of the 37th annual international symposium on Computer architecture
SieveStore: a highly-selective, ensemble-level disk cache for cost-performance
Proceedings of the 37th annual international symposium on Computer architecture
Clean first or dirty first?: a cost-aware self-adaptive buffer replacement policy
Proceedings of the Fourteenth International Database Engineering & Applications Symposium
Towards efficient concurrent scans on flash disks
DEXA'10 Proceedings of the 21st international conference on Database and expert systems applications: Part I
ACAR: an adaptive cost aware cache replacement approach for flash memory
WAIM'10 Proceedings of the 11th international conference on Web-age information management
Dual-layered file cache on cc-NUMA system
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Optimal content placement for a large-scale VoD system
Proceedings of the 6th International COnference
Differentiated storage services
ACM SIGOPS Operating Systems Review
DICE: an effective query result cache for distributed storage systems
Journal of Computer Science and Technology
ARC: a self-tuning, low overhead replacement cache
FAST'03 Proceedings of the 2nd USENIX conference on File and storage technologies
CAR: clock with adaptive replacement
FAST'04 Proceedings of the 3rd USENIX conference on File and storage technologies
Operation-aware buffer management in flash-based systems
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
USENIXATC'11 Proceedings of the 2011 USENIX conference on USENIX annual technical conference
Efficient stack distance computation for priority replacement policies
Proceedings of the 8th ACM International Conference on Computing Frontiers
Enhanced adaptive insertion policy for shared caches
APPT'11 Proceedings of the 9th international conference on Advanced parallel processing technologies
Differentiated storage services
SOSP '11 Proceedings of the Twenty-Third ACM Symposium on Operating Systems Principles
An adaptive write buffer management scheme for flash-based SSDs
ACM Transactions on Storage (TOS)
Efficient page caching algorithm with prediction and migration for a hybrid main memory
ACM SIGAPP Applied Computing Review
AD-LRU: An efficient buffer replacement algorithm for flash-based databases
Data & Knowledge Engineering
Server-Side caching strategies for online auction sites
WISE'05 Proceedings of the 6th international conference on Web Information Systems Engineering
BEAST: a buffer replacement algorithm using spatial and temporal locality
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part II
BRUST: an efficient buffer replacement for spatial databases
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
Pre-service and post-transcoding schema for an adaptive PC to mobile web contents transcoding system
EC-Web'06 Proceedings of the 7th international conference on E-Commerce and Web Technologies
Efficient memory management of a hierarchical and a hybrid main memory for MN-MATE platform
Proceedings of the 2012 International Workshop on Programming Models and Applications for Multicores and Manycores
SHiP: signature-based hit predictor for high performance caching
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
Joint management of RAM and flash memory with access pattern considerations
Proceedings of the 49th Annual Design Automation Conference
Exploiting reuse locality on inclusive shared last-level caches
ACM Transactions on Architecture and Code Optimization (TACO) - Special Issue on High-Performance Embedded Architectures and Compilers
Do More Replicas of Object Data Improve the Performance of Cloud Data Centers?
UCC '12 Proceedings of the 2012 IEEE/ACM Fifth International Conference on Utility and Cloud Computing
Lifetime and QoS-aware energy-saving buffering schemes
Journal of Systems and Software
Reducing writes in phase-change memory environments by using efficient cache replacement policies
Proceedings of the Conference on Design, Automation and Test in Europe
Reducing memory access latency with asymmetric DRAM bank organizations
Proceedings of the 40th Annual International Symposium on Computer Architecture
Adaptive Composition of Distributed Pervasive Applications in Heterogeneous Environments
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Proceedings of the 2013 Research in Adaptive and Convergent Systems
An effectiveness-based adaptive cache replacement policy
Microprocessors & Microsystems
Estimating instantaneous cache hit ratio using Markov chain analysis
IEEE/ACM Transactions on Networking (TON)
Flash-Aware Buffer Management for Database Systems
International Journal of Knowledge-Based Organizations
Hi-index | 14.99 |
Efficient and effective buffering of disk blocks in main memory is critical for better file system performance due to a wide speed gap between main memory and hard disks. In such a buffering system, one of the most important design decisions is the block replacement policy that determines which disk block to replace when the buffer is full. In this paper, we show that there exists a spectrum of block replacement policies that subsumes the two seemingly unrelated and independent Least Recently Used (LRU) and Least Frequently Used (LFU) policies. The spectrum is called the LRFU (Least Recently/Frequently Used) policy and is formed by how much more weight we give to the recent history than to the older history. We also show that there is a spectrum of implementations of the LRFU that again subsumes the LRU and LFU implementations. This spectrum is again dictated by how much weight is given to recent and older histories and the time complexity of the implementations lies between O(1) (the time complexity of LRU) and {\rm O}(\log_2 n) (the time complexity of LFU), where n is the number of blocks in the buffer. Experimental results from trace-driven simulations show that the performance of the LRFU is at least competitive with that of previously known policies for the workloads we considered.