Buffer Planning Algorithm Based on Partial Clustered Floorplanning

  • Authors:
  • Yuchun Ma;Xianlong Hong;Sheqin Dong;Song Chen;Chung-Kuan Cheng

  • Affiliations:
  • Tsinghua University, Beijing, China;Tsinghua University, Beijing, China;Tsinghua University, Beijing, China;Tsinghua University, Beijing, China;University of California, San Diego

  • Venue:
  • ISQED '05 Proceedings of the 6th International Symposium on Quality of Electronic Design
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper, we propose a partial clustered floorplanning methodology with buffer planning. The theoretic analyses show that the timing constraints can be transferred into bounding box constraint and the spacing between buffers is somewhat stable. Therefore the critical nets can be controlled by clustering strategy. The cluster strategies in our approach are designed not only for localizing the critical nets, but also for facilitating the buffer insertion of long wires. Based on CBL representation, we devise sub CBL to represent the cluster and embed the optimization of the clusters into the annealing process. In most of previous clustering-based methods, the shape of the cluster was restricted to be a square. In this paper, however, we remove this restriction by treating the cluster as the sub packing. Our method can achieve a very stable performance. Experimental results on MCNC benchmark show the effectiveness of the method and prove correctness of the theoretic analyses.