Conserving network processor power consumption by exploiting traffic variability

  • Authors:
  • Yan Luo;Jia Yu;Jun Yang;Laxmi N. Bhuyan

  • Affiliations:
  • University of Massachusetts Lowell, Lowell, MA;University of California Riverside, Reverside California;University of Pittsburgh, Pittsburgh, Pennsylvania;University of California Riverside, Reverside California

  • Venue:
  • ACM Transactions on Architecture and Code Optimization (TACO)
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

Network processors (NPs) have emerged as successful platforms for providing both high performance and flexibility in building powerful routers. Typical NPs incorporate multiprocessing and multithreading to achieve maximum parallel processing capabilities. We observed that under low incoming traffic rates, processing elements (PEs) in an NP are idle for most of the time but still consume dynamic power. This paper develops a low-power technique to reduce the activities of PEs in accordance with the varying traffic volume. We propose to monitor the average number of idle threads in a time window, and gate off the clock signals to unnecessary PEs when a subset of PEs is enough to handle the network traffic. We solve the difficulties arising from clock gating the PEs, such as redirecting network packets, determining the thresholds of turning on/off PEs, and avoiding unnecessary packet loss. Our technique brings significant reduction in power consumption of NPs with no packet loss and little impact on overall throughput.