Performance of Graceful Degradation for Cache Faults

  • Authors:
  • Hyunjin Lee;Sangyeun Cho;Bruce R. Childers

  • Affiliations:
  • Univ. of Pittsburgh;Univ. of Pittsburgh;Univ. of Pittsburgh

  • Venue:
  • ISVLSI '07 Proceedings of the IEEE Computer Society Annual Symposium on VLSI
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

In sub-90nm technologies, more frequent hard faults pose a serious burden o n processor design and yield control. I n addition t o manufacturing-time chip repair schemes, microarchitectural techniques t o make processor components resilient t o hard faults will become increasingly important. This paper considers defects in cache memory and studies their impact on program performance using a fault degradable cache model.We first describe how defects at the circuit level in cache manifest themselves at the microarchitecture level. We then examine several strategies for masking faults, by disabling faulty resources, such as lines, sets, ways, ports, or even the whole cache. We also propose an efficient cache set remapping scheme t o recover lost performance due to failed sets. Using a new simulation tool, called CAFE, we study how the cache faults impact program performance under the various masking schemes.