An efficient segmental bus-invert coding method for instruction memory data bus switching reduction

  • Authors:
  • Ji Gu;Hui Guo

  • Affiliations:
  • School of Computer Science and Engineering, The University of New South Wales, Sydney, Australia;School of Computer Science and Engineering, The University of New South Wales, Sydney, Australia

  • Venue:
  • EURASIP Journal on Embedded Systems
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper presents a bus coding methodology for the instruction memory data bus switching reduction. Compared to the existing state-of-the-art multiway partial bus-invert (MPBI) coding which relies on data bit correlation, our approach is very effective in reducing the switching activity of the instruction data buses, since little bit correlation can be observed in the instruction data. Our experiments demonstrate that the proposed encoding can reduce up to 42% of switching activity, with an average of 30% reduction, while MPBI achieves just 17.6% reduction in switching activity.