Pulsed-latch-based clock tree migration for dynamic power reduction

  • Authors:
  • Hong-Ting Lin;Yi-Lin Chuang;Tsung-Yi Ho

  • Affiliations:
  • National Cheng Kung University, Tainan, Taiwan Roc;National Taiwan University, Taipei, Taiwan Roc;National Cheng Kung University, Tainan, Taiwan Roc

  • Venue:
  • Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

Minimizing the clock tree has been known as an effective approach to reduce power dissipation in modern circuit designs. However, most existing power-aware clock tree synthesis algorithms still focus on optimizing power in flip-flops, which might have limited power savings. In this work, we explore the pulsed-latch utilization in clock tree synthesis for further power savings. We are the first work in the literature to propose a novel synthesis algorithm to efficiently migrate a flip-flop-based clock tree into a pulsed-latch one. To maintain performance of a clock tree while considering load balance (skew issues) simultaneously, we determine the clock tree topology by the minimum-cost maximum-flow network. Experimental results show that our algorithm can further reduce power consumption by 22% on average compared to approaches without pulsed latches.