Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC

  • Authors:
  • Chang Liu;Taigon Song;Jonghyun Cho;Joohee Kim;Joungho Kim;Sung Kyu Lim

  • Affiliations:
  • Georgia Institute of Technology;Georgia Institute of Technology;Korea Advanced Institute of Science and Technology, Korea;Korea Advanced Institute of Science and Technology, Korea;Korea Advanced Institute of Science and Technology, Korea;Georgia Institute of Technology

  • Venue:
  • Proceedings of the 48th Design Automation Conference
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper studies TSV-to-TSV coupling in 3D ICs. A full-chip SI analysis flow is proposed based on the proposed coupling model. Analysis results show that TSVs cause significant coupling noise and timing problems despite that TSV count is much smaller compared with the gate count. Two approaches are proposed to alleviate TSV-to-TSV coupling, namely TSV shielding and buffer insertion. Analysis results show that both approaches are effective in reducing the TSV-caused-coupling and improving timing.