STEP: a unified design methodology for secure test and IP core protection

  • Authors:
  • Pranav Yeolekar;Rishad A. Shafik;Jimson Mathew;Dhiraj K. Pradhan;Saraju P. Mohanty

  • Affiliations:
  • University of Bristol, Bristol, United Kingdom;University of Bristol, Bristol, United Kingdom;University of Bristol, Bristol, United Kingdom;University of Bristol, Bristol, United Kingdom;University of North Texas, Denton, TX, USA

  • Venue:
  • Proceedings of the great lakes symposium on VLSI
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Intellectual property (IP) core based embedded systems design is a pervasive practice in the semiconductor industry due to shorter time-to-market and tougher cost competitions. Protecting the design information in these IP cores and securing test from various attacks are two emerging challenges in today's embedded systems design. Recently reported techniques address these challenges considering secure test and IP core protection separately. However, for ensuring high security during IP core functionality and also during test, joint consideration of secure test and IP core protection is much needed. In this paper, we propose a novel and unified design methodology, called STEP (Secure TEst and IP core Protection), which addresses the joint objective of secure test and IP core protection. The aim of STEP design methodology is to achieve high security at low system cost using the same key integrated hardware during test and IP core functionality. We evaluate the effectiveness of STEP design methodology considering advanced encryption standard (AES) system as a case study. We show that proposed design methodology benefits from high security and test accuracy, requiring up to 9% higher area and 20% power overheads.