MAGE: adaptive granularity and ECC for resilient and power efficient memory systems

  • Authors:
  • Sheng Li;Doe Hyun Yoon;Ke Chen;Jishen Zhao;Jung Ho Ahn;Jay B. Brockman;Yuan Xie;Norman P. Jouppi

  • Affiliations:
  • Hewlett-Packard Labs;Hewlett-Packard Labs;University of Notre Dame and Hewlett-Packard Labs;Pennsylvania State University and Hewlett-Packard Labs;Seoul National University;University of Notre Dame;Pennsylvania State University and AMD Research;Hewlett-Packard Labs

  • Venue:
  • SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Resiliency is one of the toughest challenges in high-performance computing, and memory accounts for a significant fraction of errors. Providing strong error tolerance in memory usually requires a wide memory channel that incurs a large access granularity (hence, a large cache line). Unfortunately, applications with limited spatial locality waste memory power and bandwidth on systems with a large access granularity. Thus, careful design considerations must be made to balance memory system performance, power efficiency, and resiliency. In this paper, we propose MAGE, a Memory system with Adaptive Granularity and ECC, to achieve high performance, power efficiency, and resiliency. MAGE can adapt memory access granularities and ECC schemes to applications with different memory behaviors. Our experiments show that MAGE achieves more than a 28% energy-delay product improvement, compared to the best existing systems with static granularity and ECC.