Quipu: A Statistical Model for Predicting Hardware Resources

  • Authors:
  • Roel Meeuws;S. Arash Ostadzadeh;Carlo Galuzzi;Vlad Mihai Sima;Razvan Nane;Koen Bertels

  • Affiliations:
  • Delft University of Technology;Delft University of Technology;Delft University of Technology;Delft University of Technology;Delft University of Technology;Delft University of Technology

  • Venue:
  • ACM Transactions on Reconfigurable Technology and Systems (TRETS)
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

There has been a steady increase in the utilization of heterogeneous architectures to tackle the growing need for computing performance and low-power systems. The execution of computation-intensive functions on specialized hardware enables to achieve substantial speedups and power savings. However, with a large legacy code base and software engineering experts, it is not at all obvious how to easily utilize these new architectures. As a result, there is a need for comprehensive tool support to bridge the knowledge gap of many engineers as well as to retarget legacy code. In this article, we present the Quipu modeling approach, which consists of a set of tools and a modeling methodology that can generate hardware estimation models, which provide valuable information for developers. This information helps to focus their efforts, to partition their application, and to select the right heterogeneous components. We present Quipu’s capability to generate domain-specific models, that are up to several times more accurate within their particular domain (error: 4.6%) as compared to domain-agnostic models (error: 23%). Finally, we show how Quipu can generate models for a new toolchain and platform within a few days.